Monday, February 15, 2021
 

Executive Forum

10:00
Digital Transformation: The Only Way Forward
  Ajit Manocha, President & CEO, SEMI
Digital Transformation: The Only Way Forward
Ajit Manocha

Ajit Manocha
President & CEO
SEMI

Ajit Manocha

Abstract
Digital Transformation: The Only Way Forward

Biography
Ajit Manocha is the president and CEO of SEMI. Headquartered in Milpitas, California, SEMI is the global industry association serving the electronics manufacturing and design supply chain. Throughout his career, Manocha has been a champion of industry collaboration as a critical means of advancing technology for societal and economic prosperity.Manocha was formerly CEO at GLOBALFOUNDRIES. Prior to this he held the role of EVP of worldwide operations at Spansion and earlier served as EVP and chief manufacturing officer at Philips/NXP Semiconductors. He began his career at AT&T Bell Laboratories as a research scientist where he was granted more than a dozen patents related to semiconductor manufacturing processes that served as the foundation for modern microelectronics manufacturing. He has served on the boards of SEMI, SIA and GSA.Today, there is a much broader scope for SEMI to help foster collaboration and fuel growth than we could have ever imagined at its inception in 1970. This scope has to be accomplished without compromising the strong foundation of SEMI – the equipment suppliers and materials makers. Manocha feels SEMI must evolve as the industry’s ecosystem rapidly expands to support smarter, connected applications based on artificial intelligence, machine learning and other disruptive technologies.Manocha is active on global advocacy and workforce development issues and has served on the President’s committees for “Advanced Manufacturing Partnerships” and the President’s Council of Advisors on Science & Technology (PCAST).In 2021, VLSIresearch added Manocha to its Semiconductor Industry Hall of Fame for his leadership of SEMI efforts to address geopolitical trade tensions as well as for his initiative in navigating the many challenges of the COVID-19 pandemic impacting SEMI and the microelectronics industry. In 2020, Manocha was inducted into the Silicon Valley Engineering Hall of Fame, and VLSI named him an “All Star of the Semiconductor Industry” for his visionary leadership in 2019 to restructure SEMI to represent the expanded electronics supply chain.

10:20
Technologies for People in the New Normal
  Luc Van den hove, CEO, IMEC
Technologies for People in the New Normal
Luc Van den hove

Luc Van den hove
CEO
IMEC

Luc Van den hove

Abstract
Technologies for People in the New Normal

Biography
coming soon

10:45
Technology with a purpose: The crisis as accelerator for digital transformation and how semiconductors can enable economic, environmental and societal sustainability.
  Sabine Herlitschka, CEO and CTO, Infineon Technologies Austria
Technology with a purpose: The crisis as accelerator for digital transformation and how semiconductors can enable economic, environmental and societal sustainability.
Sabine Herlitschka

Sabine Herlitschka
CEO and CTO
Infineon Technologies Austria

Sabine Herlitschka

Abstract
Technology with a purpose: The crisis as accelerator for digital transformation and how semiconductors can enable economic, environmental and societal sustainability.

Biography
Sabine Herlitschka is Chief Executive Officer and Chief Technology Officer of Infineon Technologies Austria AG.Her professional career includes industrial biotechnology research, international cooperation and financing in technology and innovation, Internships at leading organizations in the USA, Fulbright Scholar at George Washington University and Johns Hopkins University, as well as founding Vice-Rector for Research Management and International Cooperation at the Medical University of Graz/Austria.Before joining Infineon Technologies Austria, Herlitschka was Director of European and International Programmes in the Austrian Research Promotion Agency.For almost 20 years she has been frequently involved in European Research as advisor, project coordinator and evaluator, as well as participant and Chairperson in strategic European & international expert groups. In Febr. 2018 she has been elected Chair of the Governing Board of the 5 bn Euro European Public Private Partnership ECSEL-Electronic Components and Systems for Electronic Leadership.

11:05
The Advent of the Future
  Axel Fischer, Vice President Foundry Business EMEA, Samsung Semiconductor Europe
The Advent of the Future
Axel Fischer

Axel Fischer
Vice President Foundry Business EMEA
Samsung Semiconductor Europe

Axel Fischer

Abstract
Progressive innovations in semiconductors & continuous node scaling are the essence for enabling the digital transformation. Delivering the world’s most advanced process technologies and foundry solutions with integrated functionality, bandwidth and advantages in low power consumption.

Biography
Axel Fischer joined Samsung Semiconductor Europe in Year 2002 and is heading as Vice President the Foundry Business Unit in EMEA since 2017. He is leading the European Strategy, Sales, Marketing and Technical Support Organisation. Prior to this he lead the SLSI Business Unit in Europe.Previously he worked at Texas Instruments in Nice within the ASIC and Wireless Terminal BUs in Marketing and Business Development Management roles. He started his professional career at Cypress Semiconductor in 1995. Axel served as a Board Member in the OSPT Alliance.

11:30
Shaping the Digital Transformation
  Maria Marced, President, TSMC Europe
Shaping the Digital Transformation
Maria Marced

Maria Marced
President
TSMC Europe

Maria Marced

Abstract
Maria Marced will explore the current Megatrends that are driving the Digital Transformation, through the deployment of 5G and High Performance Computing HPC. The Global pandemic is impacting all our lives, and the semiconductor industry is taking the opportunity to boost its innovation and transform our world. And TSMC technology and manufacturing excellence are accelerating the digital transformation.

Biography
President TSMC EuropeMrs. Maria Marced is President of TSMC Europe, with responsibility for driving the development, strategy and management of TSMC’s business in Europe.Before joining TSMC, Maria was Senior Vice President and General Manager of Sales and Marketing at NXP Semiconductors/Philips Semiconductors.Maria joined Philips Semiconductor as Senior Vice President and General Manager of the Connected Multimedia Solutions Business Unit, overseeing Philips' semiconductor solutions for Connected Consumer applications.Prior to Philips, Maria was employed at Intel where she developed her professional career for more than 19 years, reaching the top position as Vice President and General Manager of Intel EMEA .Maria, after finishing her studies at the “Universidad Politecnica de Madrid, Spain”, worked as a development engineer for several companies, among others Electrooptica Juan de la Cierva, where she pioneered the usage of microprocessors; as well as Telefonica where she was part of a packet switching project, embryos of today’s Internet.Maria serves as a non-executive board member at Ceva Inc., and she serves as Chairwoman of the EMEA Leadership Council of the GSA (Global Semiconductor Association). Maria was born in Valencia-Spain and she is married with one daughter.

11:45
Rebuilding Better for the Digital Future
  Simon Segars, CEO, Arm
Rebuilding Better for the Digital Future
Simon Segars

Simon Segars
CEO
Arm

Simon Segars

Abstract
Rebuilding Better for the Digital Future

Biography
Since joining Arm as one of its first employees, Simon has driven technical and business innovations to help transform the company into the leading architect of the most pervasive compute technology the world has ever seen.Simon led the development of early ground-breaking Arm processors – the Arm7 and Arm9 – powering the world’s first digital mobile phones. He played a key role in developing industry standards, and his engineering work led to him being granted several embedded-systems patents. He became vice president of engineering in 2001, and before being named as Arm CEO in July 2013, he held several other strategy positions including global head of sales. He was personally responsible for expanding the company’s U.S. business and strengthening its leadership and relationships in California’s Silicon Valley, where he still lives with his family.Simon helped steer the company through the 2016 acquisition by SoftBank, and, in June 2017, was elected to serve on the SoftBank board. He also sits on the boards of the Global Semiconductor Alliance (GSA), the Electronic System Design Alliance (ESD Alliance), TechWorks and is a non-executive director at Dolby Laboratories, Inc.Simon earned his BEng in electronic engineering from the University of Sussex and an MSc in computer science from the University of Manchester. In recognition of his extraordinary lifetime accomplishments and his impact to the global tech industry, Simon was conferred an Honorary Doctor of Science from the University of Sussex.

12:00
Present and Future of Technology in the Age of Paradigm Shift
  Akihisa Sekiguchi, CTO, Tokyo Electron
Present and Future of Technology in the Age of Paradigm Shift
Akihisa Sekiguchi

Akihisa Sekiguchi
CTO
Tokyo Electron

Akihisa Sekiguchi

Abstract
Present and Future of Technology in the Age of Paradigm Shift

Biography
Dr. Akihisa (Aki) Sekiguchi currently serves as the Alliance Strategy GM and Deputy Division General Manager of Tokyo Electron Limited’s Corporate Innovation Division. Aki is responsible for planning and executing worldwide alliance strategy for this corporate division. He is on SEMI’s Board of Industry Leaders and member of the CTO Forum. In previous roles, he has led corporate marketing and R&D. Prior to joining Tokyo Electron in 2007, he worked for IBM Microelectronics Division for 17 years. As part of Semiconductor Research and Development Center, he worked on the development of FEOL, MOL, BEOL process technologies that enabled IBM’s DRAM and SOI based logic technologies.During his last three years with IBM, he was in charge of process technology transfer to Sony (Play Station 3).Aki earned his Ph.D. in Applied Physics from Columbia University, M. Eng. and B.S. in Engineering Physics from Cornell University, and a MBA in Finance from Stern School of Business (NYU while working for IBM). His doctoral thesis was on experimental plasma physics for fusion.

12:20
Are you ready for the new supercycle?
  Kevin Crofton, CEO, Comet Group
Are you ready for the new supercycle?
Kevin Crofton

Kevin Crofton
CEO
Comet Group

Kevin Crofton

Abstract
Are you ready for the new supercycle?

Biography
Kevin Crofton is CEO of Comet Group, a leading technology company in plasma control and x-ray space. His career began 1982 in the aerospace sector. In 1994, Kevin Crofton switched to the semiconductor industry, where he held various management and leadership positions at companies like Lam Research Corporation in the USA, Newport Corporation, NEXX Systems and Aviza Technology UK (now SPTS Technologies). Kevin Crofton was President and Managing Director of SPTS Technologies from 2006 to 2020, and Senior Vice President of KLA.Kevin Crofton holds an MBA with a concentration in international business from American University and a bachelor’s degree in aerospace engineering from Virginia Tech University. He is very well established in the semiconductor industry: as an author of numerous important technical articles, as Vice Chairman of the SEMI International Board of Directors, winner of awards such as the MEMS Industry CEO of the Year 2013 and Board Chairman of Innovate UK’s Compound Semiconductor Applications Catapult.

12:30 2019 SEMI Award receipt
12:50
JSR materials innovation with digital transformation
  Tadahiro Suhara, Managing Officer, Digital Solution Business, JSR Corporation
JSR materials innovation with digital transformation
Tadahiro Suhara

Tadahiro Suhara
Managing Officer, Digital Solution Business
JSR Corporation

Tadahiro Suhara

Abstract
coming soon

Biography
Tadahiro “Tad” SUHARAManaging Officer, Digital Solution BusinessJSR CorporationBRIEF HISTORYDate of Birhth:February 13, 1961 (Kyoto, Japan)EDUCATIONBachelor in Economics, Doshisha University, Kyoto, Japan (1983)PROFESSIONAL RESPONSIBILITIESTadahiro “Tad” SUHARA is Managing Officer of JSR Corporation and in charge of Digital Solutions Business. Prior to joining JSR Corporation in 2019, Tad was President of SCREEN Semiconductor Solutions Co., Ltd., where he has been instrumental in the development of the semiconductor industry for almost over 40 years. Especially, Tad engaged as a “SEMI International Board Member” until leaving SCREEN Semiconductor Solutions Co., Ltd.PROFESSIONAL EXPERIENCE1983 Joined Dainippon Screen Mfg. Co., Ltd. (Current SCREEN Semiconductor Solutions Co., Ltd.)2009 Representative Director, President & CEO of SOKUDO Co., Ltd.2010 President, Semiconductor Equipment Company of Dainippon Screen Mfg. Co., Ltd2012 Senior Corporate Executive Officer of Dainippon Screen Mfg. Co., Ltd.2014 Representative Director, President of SCREEN Semiconductor Solutions Co., Ltd.2019 Joined JSR Corporation2019 Managing Officer, JSR Corporation

13:10
Insight from Kate Wilson on past year and the future
  Kate Wilson, President, Semiconductor Division, Edwards Vacuum
Insight from Kate Wilson on past year and the future
Kate Wilson

Kate Wilson
President, Semiconductor Division
Edwards Vacuum

Kate Wilson

Abstract
As the newly appointed president of Edwards’ semiconductor division, Kate Wilson talks about the situation in the semiconductor production supply chain, the challenges of the past year and how Edwards drives innovation that directly leads to their customers’ success.

Biography
Kate Wilson has more than 25 years’ experience in the development and delivery of vacuum and abatement solutions for the global semiconductor industry.Kate joined Edwards in the UK in 1994 on the company’s graduate scheme, moving on to develop her career through a number of product management and business development roles. In 1998, Kate relocated to the US to take up the role of Applications Engineer, working closely with semiconductor OEM customers to understand and develop solutions for their vacuum and abatement requirements.From 2011, Kate played a key role in developing Edwards’ global Applications capability, with a focus on knowledge management and the conversion of customer and market information into product requirements. In the role of Global Applications Manager, Kate relocated to Korea for two years, during which time she gained excellent knowledge of Korean culture and was instrumental in helping Edwards build customer knowledge and relationships across the Asia region.Kate has held the role of VP Marketing Subfab Solutions for Edwards’ global Semiconductor business, based in the UK, since 2017, successfully supporting revenue growth through the delivery of market technology roadmaps, differentiated products, sales support and operations forecasting enabling market share growth.Since 2019, Kate has also served as Diversity Champion for the global vacuum and abatement business, and is a passionate ambassador for diversity and inclusion both within the organisation, and in the wider semiconductor and engineering sectors.Kate will take up the role of President of Edwards’ Semiconductor division in January 2021, based in Burgess Hill, UK.Kate is a dual British and US citizen, and holds a BEng in Mechanical Engineering from Brunel University in the UK.

13:20
Rapid Probe Microscope: Enabling Tomorrow's Semicoconductors by Delivering True 3D Nanoscale Information
  Peter Jenkins, President and CEO, Infinitesima
Rapid Probe Microscope: Enabling Tomorrow's Semicoconductors by Delivering True 3D Nanoscale Information
Peter Jenkins

Peter Jenkins
President and CEO
Infinitesima

Peter Jenkins

Abstract
Rapid Probe Microscope: Enabling Tomorrow's Semicoconductors by Delivering True 3D Nanoscale Information

Biography
Peter joined Infinitesima in May 2019 as President & CEO. Based near Oxford,Infinitesima is a privately owned company founded in 2001 as a spin-out of theUniversity of Bristol. The company has developed a revolutionary atomic precision3D metrology technology which has been qualified by leading companies in thesemiconductor industry.Prior to joining Infinitesima Peter was Vice President of Marketing and Strategy atASML where he had worked for over 28 years in various management positions inThe Netherlands, Korea, and Hong Kong. Before ASML Peter worked in the UK forLSI Logic as a process engineering manager, and at Plessey Research as aprocess engineer.Peter studied Economics at Bath University

13:25
Equipment Intelligence: Advancing Technology that Advances the World
  Jason Shields, Vice President Equipment Intelligence ®, LAM Research
Equipment Intelligence: Advancing Technology that Advances the World
Jason Shields

Jason Shields
Vice President Equipment Intelligence ®
LAM Research

Jason Shields

Abstract
Chip manufacturers today are investing significant resources in developing smart manufacturing strategies to accelerate production while reducing overall cost. At Lam Research, we believe a key element in these strategies is Equipment Intelligence®, where smart tools are linked by big data and supported by intelligent services throughout the life of the tool. Our industry must continue the transition from today’s loosely coupled data repositories to nodes on a network that begins with a digital twin and expands throughout the tool life cycle with an ever-increasing digital thread where it will be combined with self-learning algorithms to achieve greater control at a lower cost of ownership. Lam is at the forefront of this industry transition, working with chip manufacturers, ecosystem partners, and suppliers to develop capability which will lead the industry with Equipment Intelligence® in everything we do. Through these collaboration efforts we are advancing technology that is advancing the world.

Biography
Jason Shields is Lam Research vice president of Equipment Intelligence®, a position he has held since June 2019. In this role he is responsible for interfacing Lam Research’s Equipment Intelligence® across the company to customers’ Smart Manufacturing roadmaps. Prior to his current position, he was general manager of Advanced Equipment and Process Control, where he oversees company strategy for this area. Before joining Lam in 2015, Mr. Shields was vice president of Integrated Metrology at Nanometrics. Earlier, he served as vice president of Brion Fab Products at ASML and held various technical marketing and program manager positions at KLA-Tencor. He began his career working in the areas of process, process integration, and yield enhancement at semiconductor manufacturer Advanced Micro Devices. Mr. Shields earned an MBA from Santa Clara University and a B.S. degree in physics from Texas A&M University.

13:45
The Future is Heterogeneous Integration
  Yin Chang, Senior Vice President, Sales & Marketing, ASE Group
The Future is Heterogeneous Integration
Yin Chang

Yin Chang
Senior Vice President, Sales & Marketing
ASE Group

Yin Chang

Abstract
Semiconductor technologies are fueling the digital transformation that is solving some of the world’s greatest challenges. Our connected lives generate an incredible 2.5 quintillion bytes of data daily, and the way such data is transmitted, analyzed, and disemminated is driving unprecedented demand for bleeding edge digital networks, connectivity, storage, memory, edge to cloud compute, and so much more. Never has the need for semiconductor innovation been greater, and our industry is stepping up.Heterogeneous Integration refers to the integration of separately manufactured components into a higher-level assembly (System-in-Package, known as SiP) that in the aggregate provides enhanced functionality and improved operational characteristics. It is now the key technology direction going forward, driving the pace of advancement for greater intelligence and connectivity, higher bandwidth and performance, and lower latency and power per function, all at a more manageable cost.Yin Chang will take the virtual stage to introduce the scope, reach and power of heterogeneous integration, describing how broad ecosystem collaboration is positioning the industry to initiate a new era of technology and scientific advances that will continue and complement scaling into the future. Chang will expand by exploring some of the innovations poised to achieve unprecedented impact on the way we live, work, play and communicate.

Biography
Ingu Yin Chang, Senior Vice President, Sales & MarketingASE GroupIngu Yin Chang is Senior Vice President, Sales & Marketing, at ASE, based in Sunnyvale, California. In his current role, he is responsible for developing and executing sales strategy, while driving marketing activities for ASE’s expanding packaging, systems, and integration solutions portfolio. Prior to joining ASE in 2013, Yin was CEO of Vertical Circuits Inc. (VCI), a company focused on the development of next generation vertical interconnect for next generation silicon integration. Previously, Yin performed a variety of management roles covering sales and operations at Amkor with responsibility for the Greater China region. He has over twenty years of leadership experience in executive management, sales, business development, IP management and strategic alliance. Yin received his material science engineering degree from University of California, Berkeley.

14:05
Transforming a Traditional Back-end Manufacturing Facility; Getting Ready for The Digital Decade
  John Nelson, President & CEO, UTAC Group
Transforming a Traditional Back-end Manufacturing Facility; Getting Ready for The Digital Decade
John Nelson

John Nelson
President & CEO
UTAC Group

John Nelson

Abstract
Transforming a Traditional Back-end Manufacturing Facility; Getting Ready for The Digital Decade

Biography
John joined UTAC in October 2012 with more than 30 years of experience in the semiconductor industry.From 2007 to 2012, John was the Executive Vice President and Chief Operating Officer of ON Semiconductor. He oversaw the company’s world-wide manufacturing, quality, world-class supply chain and information technology operations. Under his leadership, the company doubled its revenues to US$3 billion. He successfully streamlined its global manufacturing operations which included the consolidation of numerous front-end and back-end facilities and the integration of nine corporate acquisitions which involved complicated broad-scope production transfers.From 2002 to 2007, John was the Chief Executive Officer of 1st Silicon, a wafer foundry in Malaysia. He successfully built up a diverse customer base and turned the business to breakeven. John was instrumental in selling the company to X-FAB Foundry.From 1990 to 2002, John served in several executive positions in General Instrument/General Semiconductor, including Chief Operations Officer and President of the company’s Asia-Pacific operations.John’s industry experience also includes key positions at Unitrode, Fairchild Semiconductor and Analog Devices.John has a Bachelor of Science degree with honours and a Ph.D. in Physics from the University of Ulster, Northern Ireland

14:25
Testing Innovation – Beyond the traditional way of testing to meet the demands of Highly Complex Devices
  Chandran Nair, CEO, AEM Holdings Ltd.
Testing Innovation – Beyond the traditional way of testing to meet the demands of Highly Complex Devices
Chandran Nair

Chandran Nair
CEO
AEM Holdings Ltd.

Chandran Nair

Abstract
We live in a world that's almost entirely connected by semiconductors, and everything we touch is the result of the convergence of different kinds of technologies. As chips become more complex, testing in mission mode ensures reliability. It addresses all of the potential corner cases that may be prevalent when the product is deployed in a real system.As a global leader in testing and handling solutions, we are committed to helping Semiconductor companies test a large number of chips. We enable them to cost-effectively get greater test coverage and ship out their products with a higher confidence level. In this presentation, the Chief Executive Officer of AEM, Chandran Nair, provides insights on how AEM is Testing Innovation.

Biography
As Chief Executive Officer of AEM, Chandran Nair takes the lead in growing the global business and expanding product offerings for the company. Together with his regional teams, Chandran is responsible for elevating AEM’s position as the global leader in offering application-specific, intelligent system test and handling solutions for semiconductor and electronics companies serving the advanced computing, 5G, and AI markets. With over 25 years of an established career in the semiconductor and instrumentation and technology industries, Chandran is experienced in various portfolios, including engineering, sales, marketing, and strategic planning. He has led teams in Asia, Europe, and the US to implementsales and marketing strategies and technology roadmaps to create robust and sustainable business growth and established meaningful relationships with partners and employees. Prior to joining AEM, Chandran was the President of the Robotics and Autonomous Systems Business Unit at ST Engineering, driving the projects on autonomous vehicles and robotics solutions for ports, logistics warehouses, and transport. Before ST Engineering, Chandran joined NationalInstruments (NI) in 1997. He was responsible for the growth of the industry-standard modular instrumentation platform, PXI, and the expansion of NI’s offices in APAC as Vice President. Under his leadership, NI’s business in APAC achieved revenue growth of almost USD400 million. Chandran is recognized as an accomplished business and technology leader as well as an expert and thought leader in the engineering and tech global community. The Asian Manufacturing Awards presented him with the Industry Leader of the Year (2016), and he sits on the board of the Singapore Science Centre and the advisory board of the Advanced Remanufacturing and Technology Centre (ARTC).Chandran is committed to inspiring, coaching, and empowering the people around him to innovate and grow their business.

14:40 2019 SEMI Award receipt
14:50 Edge AI and High-Performance Computing, Emmanuel Sabonnadiere, CEO Leti of CEA Tech
15:05
What's Next in AI: Our Vision for the Future of AI Hardware
  Mukesh Khare, Vice President, IBM Research
What's Next in AI: Our Vision for the Future of AI Hardware
Mukesh Khare

Mukesh Khare
Vice President
IBM Research

Abstract
We have reached a turning point in computation. As we grapple with major challenges like a global pandemic and a warming climate, the next generation of computers will define how we respond to these and future crises. Learn how the AI Hardware Center is part of the development of a new, scalable computing platform that uses the power of AI and the flexibility of the hybrid cloud to create a virtually limitless pool of computing power and capabilities.

Biography
Dr. Mukesh V. Khare is Vice President at IBM Research, driving IBM’s Hybrid Cloud research agenda. In his current role, he and his team of more than 1000 researchers worldwide are re-defining the future of computing for the next generation workloads such as AI, Machine Learning, High-Performance Computing and their delivery through Hybrid Cloud. Throughout his career, Dr. Khare helped build and drive collaborative research alliances in the semiconductor industry to push the state of the art and most recently championed the formation of the AI Hardware Center to drive innovations in AI technologies through collaboration. Dr. Khare has led research, development and implementation of several innovative technologies such as high-k metal gate and FinFET with significant value to IBM and research alliance partners.Dr. Khare is a recipient of the IBM Corporate Award and Outstanding Technical Achievement Award for his accomplishments and is an IBM Distinguished Engineer. He serves on of the Board of Directors for the Semiconductor Research Corporation (SRC) and is also an active board member of several research focused entities. Dr. Khare served as the General Chair of the 2018 Symposia on VLSI Technology, has co-authored more than 100 research papers and holds several U.S. and international patents.Dr. Khare began his career at IBM in 1998 after finishing his M.S, M. Phil. and Ph.D. degrees from Yale University. A proud father of two and the husband of an architect, Dr. Khare is a strong advocate for diversity and equal opportunity in the workplace through sponsoring initiatives such as PowerUp for women engineers.

15:20
Mastering the Edge: Critical Factors to Enabling Edge Computing
  Maurice Geraets, Co-CEO, NXP Netherlands
Mastering the Edge: Critical Factors to Enabling Edge Computing
Maurice Geraets

Maurice Geraets
Co-CEO
NXP Netherlands

Maurice Geraets

Abstract
There is no denying that cloud computing has been a top technology over the past two decades. As the pandemic has forced lockdowns, those who can do their jobs from a computer are still working – from home. This would have been impossible not that long ago. Even though the cloud is key for today, it can’t handle the technologies of the future. Self-driving cars are a perfect example. They need to make ultra-fast, perfectly accurate decisions. There is no time to wait for data to be processed in a data center. This is where edge computing comes in. Edge computing cuts across the IoT - from home and work to the most complex of all, the vehicle. Coupled with the rising digitization that leads to everything connected, high-performance edge compute platforms are transforming ecosystems. In this talk, Maurice Geraets will share why mastering edge computing with the right level of safety and security is critical to enabling next-generation technologies.

Biography
Maurice Geraets MSc MBA is Co-CEO NXP Semiconductors Netherlands. He has over 25 years of experience in the IT and electronics industry and works at NXP Semiconductors since 2002. In his current position he focuses on disruptive innovations for ‘secure connections for a smarter world’. This concerns e.g. intelligent transport systems (ITS) and automated driving. Next to his role at NXP, Maurice is active in the governance of the 1 billion Euro Dutch SmartwayZ program, is board member of several associations on automotive and mobility, is member of the Corporate Partnership Board of the OECD International Transport Forum and is member of the management committee of the European industry association AENEAS.Mr. Geraets holds an Executive MBA degree and a Master of Science degree in Computer Science. Mr. Geraets is Dutch and was born in 1968.

15:40
Huawei Approach for Inclusive Open Innovation Eco-System
  Changze Liu, CEO, Huawei Technolgies R&D Belgium NV
Huawei Approach for Inclusive Open Innovation Eco-System
Changze Liu

Changze Liu
CEO
Huawei Technolgies R&D Belgium NV

Changze Liu

Abstract
This presentation will review the Huawei’s strategy in future innovation and improvement of Eco-system in semiconductor area, from process, design, to software and application.

Biography
Changze Liu received his PhD degree in EE from Peking University in 2013. Then he joined the semiconductor division in Samsung Electronics where he involved in the development of advanced FinFET processes. In 2017 he joined Huawei Hisilicon as technical experts for product R&D with advanced technology node. From 2020 he served as the CEO of Huawei Technologies R&D Belgium NV. He has authored and coauthored over 30 scientific papers including IEEE IEDM, VLSI and IRPS. He also served as committee member of IEEE EDTM, IRPS, ISCAS, IPFA and ESREF.

16:00
Shaping the Global Digital Future Through Secure Information Sharing
  Wally Rhines, President and CEO, Cornami, Inc.
Shaping the Global Digital Future Through Secure Information Sharing
Wally Rhines

Wally Rhines
President and CEO
Cornami, Inc.

Wally Rhines

Abstract
Historical growth of the semiconductor industry has been driven by idea sharing among companies and individuals throughout the world. Although the free flow of innovation, information and people is being challenged, it will soon become possible to exchange the benefits and insights gleaned from data analytics without revealing the actual data. Dr. Rhines will address the semiconductor and software innovations required for real-time fully homomorphic encryption to become a reality. With this capability, we can secure the data rather than the data center and share the information in our data without revealing the actual data.

Biography
WALDEN C. RHINES is President and CEO of Cornami, Inc., a fabless software and semiconductor company focused on intelligent computing for fully homomorphic encryption and machine learning. He was previously CEO of Mentor Graphics for 25 years and Chairman of the Board for 17 years. During his tenure at Mentor, revenue nearly quadrupled and market value of the company increased 10X.Prior to joining Mentor Graphics, Dr. Rhines was Executive Vice President, Semiconductor Group, responsible for TI’s worldwide semiconductor business. During his 21 years at TI, he was President of the Data Systems Group, held numerous semiconductor executive management positions and was directly responsible for the creation and growth of the digital signal processing business which eventually comprised about 50% of TI’s total revenue.Dr. Rhines has served on the boards of Cirrus Logic, QORVO, TriQuint Semiconductor, Global Logic, PTK Corp., SRC and as Chairman of the Electronic Design Automation Consortium (five two-year terms). He is a Lifetime Fellow of the IEEE and has served on the Board of Trustees of Lewis and Clark College, the National Advisory Board of the University of Michigan and Industrial Committees advising Stanford University and the University of Florida.Dr. Rhines holds a Bachelor of Science degree in engineering from the University of Michigan, a Master of Science and PhD in materials science and engineering from Stanford University, a master of Business Administration from Southern Methodist University and Honorary Doctor of Technology degrees from the University of Florida and Nottingham Trent University.

16:20
Smarter tools for smarter fabs - taking automation to the next level
  Rüdiger Dorn, Industry Lead Hightech & Semiconductors, Google Corporation
Smarter tools for smarter fabs - taking automation to the next level
Rüdiger Dorn

Rüdiger Dorn
Industry Lead Hightech & Semiconductors
Google Corporation

Rüdiger Dorn

Abstract
For years Semiconductor Manufacturers have been investing in automation and information technology. Typically, many industry 4.0 pilots and POC installations can be foud - often with unclear ROI.The keynote will focus on new technologies like industrialized AI / ML to dramatically the cost and resource requirements of algorithm development, management and enterprise wide deployment. It will present and discuss the requirements for secure data collection and ingestion as well as outline the trends to support and automate AI model generation / deployment. These new technologies are critical to scale AI / ML across fabs, re-deploy scarce resources and ultimately achieve cost savings in the manufacturing process.Co-presented with Jörg Recklies, Senior VP, Infineon Technologies

Biography
Ruediger Dorn is responsible for driving the Google business across customers in the High Tech and Semiconductor industry. In his work he specializes on how leading digital technologies can solve real business problems with a specific focus on innovation and operational excellence.Prior to Google Ruediger has worked in several international leadership roles for leading US IT companies as well as consulting firms.

16:40 2019 SEMI Special Service Award receipt
16:50
Enabling a sustainable semiconductor material supply chain
  An Steegen, Chief Technology Officer, Umicore
Enabling a sustainable semiconductor material supply chain
An Steegen

An Steegen
Chief Technology Officer
Umicore

An Steegen

Abstract
Enabling a sustainable semiconductor material supply chain

Biography
An Steegen (°1971) holds a PhD in Material Science and Electrical Engineering from the Catholic University of Leuven, KUL, in collaboration with the Interuniversity Microelectronics Center, IMEC, in Belgium. She joined IBM Semiconductor R&D in Fishkill, New York, in 2000. As R&D director and executive of IBM’s International Semiconductor Alliance, she was responsible for IBM’s advanced logic semiconductor technology development for the mobile and wireless application market. In 2010, she rejoined imec, in Belgium. As Executive Vice President, she was in charge of imec’s Semiconductor Technology & Systems division. Dr Steegen is a recognized leader in semiconductor R&D and an acclaimed and inspiring thought leader in innovation in the IoT and digitalization era. In 2018, Dr An Steegen joined Umicore as Chief Technology Officer, responsible for the company’s overall innovation strategy. She is in charge of Umicore’s R&D in the areas of clean mobility materials, recycling and sustainability and she is responsible for Umicore’s new business incubation in adjacent and new opportunity markets. She is also Executive Vice President of the Electro-Optical Materials and Metal Deposition Solutions business units .

17:10
Sustainability, Efficiency
  Philippe Delorme, Executive Vice-President of Energy Management, Schneider Electric
Sustainability, Efficiency
Philippe Delorme

Philippe Delorme
Executive Vice-President of Energy Management
Schneider Electric

Philippe Delorme

Abstract
The challenges of the semiconductor industry are closely linked to the pivotal challenge of our generation: climate change. 80% of global CO2 emissions arise from the production and consumption of energy. Simultaneously, it is becoming more critical for the semiconductor sector to better manage the energy equation. Electricity 4.0 holds the key to overcoming these challenges. It is time to realize the New Electric World to build a sustainable and efficient future.

Biography
Philippe Delorme is Executive Vice-President of Energy Management and a member of the Executive Committee at Schneider Electric. He leads a 21bn€ business with a passionate team to provide world-leading energy technologies, software and services to make energy safe and reliable, efficient and sustainable, open and connected. He has over 25 years of experience at Schneider Electric, where he has held a variety of leading management positions across different business units and functions, originally in the US and France then globally. Philippe graduated from the Centrale Paris engineering school and holds an MBA of International Business from Science Po Paris. Today he is based in Hong Kong.

17:25
How can a supplier help its customers fight climate change in the semiconductor industry? -The Air Liquide case-
  Ashutosh Misra, Group VP Sustainability, Air Liquide
How can a supplier help its customers fight climate change in the semiconductor industry? -The Air Liquide case-
Ashutosh Misra

Ashutosh Misra
Group VP Sustainability
Air Liquide

Ashutosh Misra

Abstract
Air Liquide is a world leader in gases, technologies and services for industry and health. Its strategy for profitable growth over the long-term is that of a customer-centric transformation. It is based on operational excellence and the quality of its investments, on open innovation and the network organization already implemented by the Group worldwide. Air Liquide’s ambition is to be a leader in its industry, deliver long-term performance and contribute to sustainability.Air Liquide’s performance and its sustainability commitment go hand in hand. This commitment is key for both motivating the Group’s teams, nurturing the long-term trust of stakeholders and the Company’s long-term sustainability. All of the Group’s businesses are rolled out in a way that contributes to major environmental and societal challenges, providing industrial, transportation and healthcare solutions. These challenges, such as the climate and air quality, are growth drivers for Air Liquide. The Group is a responsible industry player, and at the end of 2018 committed to reducing the carbon intensity of its operations. Air Liquide contributes through its business and its commitment to reach certain Sustainable Development Goals (SDGs) introduced by the UN to eradicate poverty, protect the planet and guarantee prosperity for all by 2030. To illustrate this contribution, environmental and societal achievements are associated with the relevant SDGs in the performance section of this report.As part of its global approach to the climate, Air Liquide has set the most ambitious objectives in its sector. Known as ACE, these objectives break down as follows:Assets (A)Within its activities, including production, distribution and services, Air Liquide is committed to reducing its carbon intensity (a) by 30% by 2025, based on its 2015 emission levels.Customers (C)With its customers, the Group is also committed to a sustainable industry by promoting low-carbon solutions and developing new solutions.Ecosystems (E)With ecosystems, via an active dialog with all players (public authorities, industrial partners, NGOs, etc.), Air Liquide is contributing to the development of a low-carbon society, notably by developing biomethane for industry and transport and promoting hydrogen which, in both terms of mobility and energy, will play a key role in the fight against climate change and energy transition.For Air Liquide, strengthening dialog with Group employees, customers and patients, shareholders, suppliers, local communities and the public sphere is a strategic objective which contributes directly to the responsible growth that the Group seeks to implement. Through these ongoing discussions, the Group is committed to take into account their issues, identify priority development issues and share its ambition to contribute to a more sustainable world.In particular, with its customers, the Group is committed to working towards a clean and sustainable industry. Thanks to its essential molecules management (oxygen, hydrogen, carbon dioxide…) and the in-depth knowledge of its customers’ processes, Air Liquide offers technologies which allow them to improve the energy efficiency of their industrial processes and reduce their emissions.Air Liquide has identified two key drivers to reach this objective:(1) Rolling out low-carbon offerings and solutionsAir Liquide provides its customers with the possibility of outsourcing some of their processes in order to pool assets and thus reduce the amount of energy used by up to 20%. The Group is also developing offerings which will reduce transport related emissions, in particular through small production units installed at customers’ sites and new-generation cylinders which are 40% lighter than those made of steel. To improve the energy efficiency of combustion in the steel and glass industries, Air Liquide provides oxy-combustion solutions. This process consists of enriching air with oxygen to reduce energy consumption.(2) Co-developing innovative processes with its customersAir Liquide is working in partnership with its customers to introduce new solutions that will reduce the environmental footprint in various business areas: either by reducing, where possible, the CO2 emissions of its customers by offering innovative solutions (EnScribe offer for semiconductor industry, for example); or by capturing CO2 to give it a second life (CCUS – Carbon Capture, Utilization and Storage)or by storing it permanently (CCS – Carbon Capture and Storage, in depleted offshore natural gas reserves, for example).

Biography
As the Group VP of Sustainable Development at Air Liquide, Ashutosh is responsible for development and deployment of the Sustainability roadmap across the organization. This includes all environmental and societal components that are key to the Group’s strategy. Prior to his current position, Ashutosh was the Chief Technology Officer of Air Liquide’s Electronics business line, leading the definition of global technical vision and product development strategies for the semiconductor market. In previous role as the Worldwide Director of ALOHA™ Electronics Performance Materials, he oversaw Air Liquide’s advanced precursor business that supplies leading edge materials for CVD and ALD processes.Ashutosh joined Air Liquide in 1997. He holds a Ph.D. in Physical Chemistry and was nominated Air Liquide Group Senior Fellow in 2018. He is a co-author of the Handbook of Chemicals and Gases for the Semiconductor Industry, holds 27 US and International patents and has published over 25 research articles in refereed journals.

17:45
Taking Diversity Culture to the Top
  Susan Weiher, VP Engineering, Operations, OSRAM Opto
Taking Diversity Culture to the Top
Susan Weiher

Susan Weiher
VP Engineering, Operations
OSRAM Opto

Susan Weiher

Abstract
Taking Diversity Culture to the Top

Biography
Responsibility •VP Engineering, Operations Working experience•2019- Now: VP Engineering OSRAM -OS•2018 - 2019: GF Sr. Director TD and Product Development•2013 - 2018: GF Sr. Director Adv Manufacturing Engineering/Operations•1991 - 2013: Applied Materials Process Engineering and Account Management Education•BS/MS/PhD in Chemical Engineering at UCSD and Stanford UniversityInterests•Skiing, Golf, DiversityMindset•Good News in NO News, Bad News is GOOD News, and No News Is BAD News.•Good Managers make decisions, Great leaders change bad ones.•The sooner you start, the sooner you are finished.

18:00
Where is the next generation of technology experts?
  Andreas Schleicher, Director for Education and Skills, OECD
Where is the next generation of technology experts?
Andreas Schleicher

Andreas Schleicher
Director for Education and Skills
OECD

Andreas Schleicher

Abstract
We need to learn for the digital world, but the digital world also opens up entirely new opportunities for learning

Biography
Andreas Schleicher is Director for Education and Skills at the Organisation for Economic Co-operation and Development (OECD). He initiated and oversees the Programme for International Student Assessment (PISA) and other international instruments that have created a global platform for policy-makers, researchers and educators across nations and cultures to innovate and transform educational policies and practices.He has worked for over 20 years with ministers and education leaders around the world to improve quality and equity in education. Former U.S. Secretary of Education Arne Duncan said that Schleicher “understands the global issues and challenges as well as or better than anyone I’ve met, and he tells me the truth” (The Atlantic, July 11). Former UK Secretary of State Michael Gove called Schleicher “the most important man in English education” – even though he is German and lives in France.Before joining the OECD, he was Director for Analysis at the International Association for Educational Achievement (IEA). He studied Physics in Germany and received a degree in Mathematics and Statistics in Australia. He is the recipient of numerous honours and awards, including the “Theodor Heuss” prize, awarded in the name of the first president of the Federal Republic of Germany for “exemplary democratic engagement”. He holds an honorary Professorship at the University of Heidelberg.