Tuesday, November 12, 2019
10:00

SEMI Opening by Cassandra Melvin, Director of Operations, SEMI Europe

 

Session 1: Skills to Accelerate Your Career

Chair Desiree Gahler, Global HR Business Partner and Head of Talent Development, VAT Group
Desiree Gahler

Desiree Gahler
Global HR Business Partner and Head of Talent Development
VAT Group

Desiree Gahler

Biography
Desiree Gahler is Global HR Business Partner & Head of Talent Development in VAT Group. In that role she sets the talent strategy and drives programs that ensure VAT’s long term business performance. As a strategic HR Business Partner she focuses together with the leadership team on ensuring sustainable and scalable organizational development and fostering a culture and environment that supports productivity, empowerment and engagement. She holds a masters degree in human capital management and brings a background from a multinational corporate environment.

10:05
Navigating Success in the Semiconductor Industry
  Caroline Schwuchow, Managing Director, Equipment Sales Central Europe, Applied Materials GmbH
Navigating Success in the Semiconductor Industry
Caroline Schwuchow

Caroline Schwuchow
Managing Director, Equipment Sales Central Europe
Applied Materials GmbH

Caroline Schwuchow

Abstract

Biography
Caroline Schwuchow is a managing director and general manager of equipment sales of the Applied Materials European Central Accounts working for the Applied Global Service and Global Field Group. She is responsible for European customer accounts covering equipment sales for the complete product portfolio =<200mm and 300mm part of the ICAPS organization covering product solutions for IoT, Communication, Automotive, Power, Sensors. In this role, she manages all Customers in Central and Northern Europe, as well all European Mask Shops.Mrs. Schwuchow first joined Applied Materials in April 1986 as a field service engineer in Etch and has held a variety of positions in service and operations management, service sales, European business development for all products, solar sales, European regional sales management for service and equipment and management across the complete product portfolio, including general manager activities of global accounts. Mrs. Schwuchow received a master’s degree in Physics and a master’s degree for Economics and Industrial Engineering from the Fachhochschule Munich.

10:25
How to Approach the Semiconductors Skills Shortage
  Enrico Rudnick, Managing Director of Central Europe, MRL Consulting Group
How to Approach the Semiconductors Skills Shortage
Enrico Rudnick

Enrico Rudnick
Managing Director of Central Europe
MRL Consulting Group

Enrico Rudnick

Abstract
We all know the skills gap is looming in the semiconductor industry and sourcing the right talent is top of the agenda for most businesses. In today’s talk, David Stone and Enrico Rudnick from MRL Consulting Group will discuss the skills shortage in more detail. This includes what the skills shortage means long term and why it’s imperative to be changed. How people can take advantage of opportunities within the sector across technical and commercial roles highlighting the skills needed and what businesses need to do to overcome the skills gap and what initiatives can be put in place.

Biography
Enrico Rudnick started his recruitment career in 2001. Now the Managing Director of Central Europe for MRL Consulting Group, Enrico has 13 years of experience of recruiting all functional disciplines at all levels within our specialist market segments of “Semiconductors & Components” and “Capital Equipment”. As well as managing the entire team in Central Europe, driving the business development strategy alongside our CEO David, Enrico is very much still involved in placing world-class talent at the highest level across the semiconductor and capital equipment market.

10:45
METIS: MicroElectronics Training, Industry and Skills: Europe’s Newest and Largest Electronics Education Initiative
  Emir Demircan, Director Advocacy and Public Policy, SEMI Europe
METIS: MicroElectronics Training, Industry and Skills: Europe’s Newest and Largest Electronics Education Initiative
Emir Demircan

Emir Demircan
Director Advocacy and Public Policy
SEMI Europe

Emir Demircan

Abstract
METIS, approved by the European Commission, is the newest and largest electronics education initiative in Europe. Funded by the Erasmus+ Program, the initiative brings together industry and university partners to connect students and employers to boost career opportunities in the electronics industry. The project focuses on key technological, environmental and societal trends shaping the future of electronics technology; identifies emerging job profiles and develops a modern curriculum as well as an innovative learning platform accessible to all. Emir Demircan will present the project concept and present what METIS provides for businesses and students at all levels.

Biography
Emir Demircan, Director of Advocacy and Public Policy, SEMI Europe. He is a professional in public policy and government affairs in engineering technologies. At SEMI, he is responsible for leading pan-European advocacy actions on technology, talent, regulatory and government incentives. He previously worked in the 3D printing, chemical and digital sectors. He studied international political economy at King's College London.

 

Session 2: Technology’s Impact on Sustainable Development

Chair Emir Demircan, Director Advocacy and Public Policy, SEMI
Emir Demircan

Emir Demircan
Director Advocacy and Public Policy
SEMI

Emir Demircan

Biography
Emir Demircan, Director of Advocacy and Public Policy, SEMI Europe. He is a professional in public policy and government affairs in engineering technologies. At SEMI, he is responsible for leading pan-European advocacy actions on technology, talent, regulatory and government incentives. He previously worked in the 3D printing, chemical and digital sectors. He studied international political economy at King's College London.

11:05
The UN IPCC GHG Guidelines (2019) and the Impact on the Semiconductor Industry;What are the Changes & Implications?
  Mike Czerniak, Environmental Solutions Business Development Manager, Edwards
The UN IPCC GHG Guidelines (2019) and the Impact on the Semiconductor Industry;What are the Changes & Implications?
Mike Czerniak

Mike Czerniak
Environmental Solutions Business Development Manager
Edwards

Mike Czerniak

Abstract
For the last 4 years, a team of 190 lead authors working for the United Nations’ Intergovernmental Panel on Climate Change have been refining the 2006 Guidelines document for calculating Greenhouse Gas (GHG) emissions from all anthropogenic (i.e. human activity) sources, which has recently been published on the IPCC website. This presentation discusses the motivation for undertaking this work, compares and contrasts the 2006 and 2019 documents, and assesses the implications for the electronics and semiconductor industries, including additional gases that are now included, two new mechanisms for by-product PFC formation (including their magnitude), and the inclusion of PFC emissions from printed circuit board (PCB) waterproofing (the first time this has been considered).

Biography
Dr./Mr./Ms.: ProfessorName: Mike Czerniak Job Title: Environmental Solutions Business Development ManagerDept.: MarketingCompany: EdwardsEducation:1982 PhD Electrical Engineering, University of ManchesterExperience:2016-now, Lead Author on UN IPCC 2019 GHG Guidelines2016-now, Visiting Industrial Professor, University of Bristol2014-now, Co-Chair SEMI E167 & 175 Energy-Saving standards1995-now, Marketing & Business Developt., Edwards1982-1995, Semiconductor Manufacturing Technology: Philips, Cambridge Instruments, VSW, Vacuum Generators

11:25
IoT with a Soft Touch: Connecting for a Sustainable Future
  Liesbet Van der Perre, Prof., KU Leuven
IoT with a Soft Touch: Connecting for a Sustainable Future
Liesbet Van der Perre

Liesbet Van der Perre
Prof.
KU Leuven

Liesbet Van der Perre

Abstract
In the next decade, a tremendous growth of number of embedded IoT nodes is expected, fueled by rapid technological developments in micro- and nano-systems (MEMs/NEMs) and embedded intelligence (microcontrollers and compute engines). This technology can be easily designed to fit in many applications addressing challenges in our society. These range from environmental monitoring, reducing waste, improving food and water safety, increasing efficiency in farming and logistics, and many more.This talk will introduce the basic architecture of an IoT system and embedded connected devices. The technological challenges involved in their deployment will be summarized.The IoT ‘with a soft touch’ is an essential ingredient for a sustainable future. We designed a building box for technological experimentation. It will be provide to groups of high-school students enabling them to establish their own remote sensing applications. We aim to appeal to and engage a diverse young community. Their creativity can fuel the innovation looked for!

Biography
Liesbet Van der Perre received the M.Sc. degree in Electrical Engineering from KU Leuven, Belgium, in 1992. She graduated summa cum laude with a PhD degree in electrical engineering from the same university in 1997. Dr. Van der Perre joined Imec as a senior researcher in 1997 in the wireless group. She took up responsibilities as system architect, project leader, program manager, and was the director of Imec’s Green Radio program. Currently, Prof. Liesbet Van der Perre is a full professor in the DRAMCO group (www.dramco.be) of the Electrical Engineering Department of the KU Leuven and a Lise Meitner guest professor at Lund university.Her main research interests are in energy efficient wireless communication for IoT and (beyond) 5G systems. She is (co-)author of over 350 scientific publications. Dr. Van der Perre is a member of the Board of Directors of the companies Zenitel and Crescent.

11:45
Semiconductor Manufacturing – Enabling the Data Revolution
  Bernie Capraro, Research Manager, Silicon Technology, Intel Research and Development Ireland Ltd
Semiconductor Manufacturing – Enabling the Data Revolution
Bernie Capraro

Bernie Capraro
Research Manager, Silicon Technology
Intel Research and Development Ireland Ltd

Bernie Capraro

Abstract
The world as we know it is changing at a rapid pace, in fact, the rate of change that we are experiencing in our modern world is exponentially greater than any previous time in history, and it’s not letting up! Moore’s Law (named after one of the Intel co-founders, Gordon Moore), has provided the opportunity to use technology for the greater good, to help augment and provide better lives for all on the planet. It has enabled a “data-rich” environment, the correct and responsible use of which will enable us to manage our daily tasks more easily, tackle very complex issues, and have fun experiences. This short talk will provide a brief insight into the semiconductor manufacturing industry, and how it has strived for many years to produce the under-pinning technologies of our modern world, and how Intel expects to continue the data revolution with sustainable state-of-the-art semiconductor manufacturing.

Biography
Bernie received a Masters Degree in Engineering (MEng) from Newcastle upon Tyne Polytechnic (with Distinction) and has been working at Intel for the past 22 years holding various Engineering and Management roles across the wafer fabrication facilities. Bernie is currently responsible for all silicon nanotechnology research involving Intel in Ireland, helping to identify potential future technology options to Intel in collaboration with Research Centres, Academia and Industry across Ireland and Europe. In addition, Bernie owns the relationship development within Ireland’s Third Level Education Institutions, helping to produce a highly educated talent pool in the region, progress Intel’s research agenda, and help set policy direction for the good of both Academia and Industry. In February 2019, Bernie was announced as an Adjunct Professor within Ireland’s first Technological University, TU Dublin. Bernie’s semiconductor career spans 32 years, with other Process and Equipment Engineering positions held at Telefunken GmbH (Ge), Nortel/Bell Northern Research (UK/Canada), Applied Materials (UK) and Newport Wafer Fab (UK).

12:05

Career Café

13:00

Panel Opening by Emily Clark, SSC Sales, Applied Materials

13:10 Panel discussion

Diversity & Inclusion for High Performance Teams

Moderation Cassandra Melvin, Director of Operations, SEMI Europe
Cassandra Melvin

Cassandra Melvin
Director of Operations
SEMI Europe

Cassandra Melvin

Biography
Cassandra Melvin received her BS in Business Management and Neuropsychology at Rensselaer Polytechnic Institute and is Director of Operations at SEMI Europe. For the nine years prior to joining SEMI, she held the position Global Product Manager at Atotech Deutschland GmbH, where she was responsible for managing several hundred electroplating chemistry products in its Semiconductor and Functional Electronic Coatings division. She began her career at the SUNY Polytechnic Institute (formerly the College of Nanoscale Science and Engineering) as a Business Manager focused on strategic and technical programs for semiconductor chemistry and equipment manufacturers. She also held various project and program management roles in clean room operations and IT at SUNY. Cassandra's written work has been published in leading technical magazines and presented at key conferences globally. As an advocate for diversity and inclusion, she is actively involved in SEMI's efforts to promote diversity within the semiconductor industry.

Panelists
  • David Stone, Chief Executive Officer, MRL Consulting Group
  • David Stone

    David Stone
    Chief Executive Officer
    MRL Consulting Group

    David Stone

    Biography
    David Stone, a career recruiter since leaving University, is the Chief Executive and co-founder of MRL Consulting Group (established 1997). Listing his core duties as being, “the recruitment, retention & motivation of great people”, David oversees all offices and activities within MRL and sets the strategies for the company’s continued successes.Married, with 5 children, David is a champion of workplace best practice & employee wellbeing and attracted worldwide attention when MRL became the first international recruitment company to implement a 4 day working week in May 2019. He has particular personal interest and expertise in the global semiconductor marketplace.

  • Emily Clark, SSC Sales, Applied Materials GmbH
  • Emily Clark

    Emily Clark
    SSC Sales
    Applied Materials GmbH

    Emily Clark

    Biography
    Emily Clark is the Service Sales Manager for central Europe at Applied Materials and based out of Munich. She joined Applied Materials in October 2018 and has recently received the award for “Best Performance by New Sales Person”. Previously, Emily lead European wide sales teams in the optics industry before moving to the semiconductor industry. She holds a Master’s degree in Engineering Physics from the Technical University Munich.

  • Tom Lievens, VP HR & Organizational Development, Edwards
  • Tom Lievens

    Tom Lievens
    VP HR & Organizational Development
    Edwards

    Tom Lievens

    Biography
    Tom Lievens has devoted 20+ years’ of his career to Human Resources and holds currently the position of Vice President Human Resources at Edwards.Edwards is a global leader of vacuum and abatement with over 5,000 passionate employees in the Semiconductor industry. With a global footprint, Edwards is present in all the major semiconductor markets worldwide.Prior to his current role, Tom was VP HR in Power Technique within the Atlas Copco Group, HR Director Belgium-France at VDL Group, Senior Consultant at Hudson and Senior Advisor at the Belgian employer federation Agoria.Within his current global responsibility, the main focus areas are Learning & Development, Talent Management, Diversity and Inclusion and Organizational Development.Tom, who lives in the UK, is a Belgian national and holds a Bachelor degree in Social Science.

  • Huma Ashraf, Head of R&D Accounts, SPTS Technologies Ltd
  • Huma Ashraf

    Huma Ashraf
    Head of R&D Accounts
    SPTS Technologies Ltd

    Huma Ashraf

    Biography
    Dr Huma Ashraf has more than 25 years’ experience in the semiconductor industry. Dr Ashraf joined Surface Technology Systems (STS) as a process engineer in 1993 and was involved in the early pioneering development of silicon DRIE and subsequent equipment development. Following STS’s merger with Aviza Technology to form SPTS Technologies in 2009, Dr Ashraf became Process Manager for R&D Accounts. Dr Ashraf studied Engineering at Cambridge University and gained her PhD in Chemistry from Imperial College of Science and Technology, London. Dr Ashraf has also contributed towards a number of technical papers and patents.

  • Eleni Michalopoulou, PhD Student in the department of Chemistry and the Atmospheric Chemistry Research Group, University of Bristol
  • Eleni Michalopoulou

    Eleni Michalopoulou
    PhD Student in the department of Chemistry and the Atmospheric Chemistry Research Group
    University of Bristol

    Eleni Michalopoulou

    Biography
    Eleni has a background in physics, oceanography, environment and meteorology. As part of her undergraduate studies she worked for the Hellenic National Meteorological Service using satellite data and atmospheric modelling. She spent 2 years doing field work focusing on marine research where she focused on oceanography and anthropogenic pollutants (e.g. microplastics). In 2015 she started her PhD in atmospheric chemistry in the University of Bristol where she worked on quantifying perfluorocarbon emissions from the aluminium, semiconductor and rare earth smelting industries. Eleni is a co-author in the Intergovernmental Panel on Climate Change chapter on emissions from the metal industry. Finally, Eleni has been on developing multidisciplinary approaches and sustainable development with a particular focus on global challenges and development strategies.

 

Diversity & Inclusion for High Performance Teams

14:00
Advancing the Way People Live and Work
  Susana Esteban, Worldwide Quality Customer Research, Hewlett Packard Enterprise
Advancing the Way People Live and Work
Susana Esteban

Susana Esteban
Worldwide Quality Customer Research
Hewlett Packard Enterprise

Susana Esteban

Abstract
Hewlett Packard Enterprise (HPE) is a global technology leader focused on developing intelligent solutions that allow customers to capture, analyze, and act upon data seamlessly from edge to cloud. HPE enables customers to accelerate business outcomes by driving new business models, creating new customer and employee experiences, and increasing operational efficiency today and into the future.During the SMART Workforce Forum, as part of the SEMICON Europe 2019 (Munich, Nov 12th), HPE will share with the audience about the Company’s purpose, and values including its full commitment to Inclusion & Diversity which is key to Hewlett Packard Enterprise’s foundation principles.At Hewlett Packard Enterprise, we believe that the full power of our people will drive HPE success. A focus on Inclusion & Diversity helps to drive new business, fuel innovation, and attract and attain the best employees. It makes a difference in the workplace, marketplace, and community advancing the way we live and work. We make it matter.

Biography
Joined HPE in 2002 to take leadership role in Services Quality and Customer Experience.Prior to HPE, held key leadership positions in AT&T Microelectronics and Lucent Technologies.29 years experience in services and manufacturing industries and disciplines such, production control, customer service, public affairs, quality management and customer research.Master degree in Physics (Complutense University of Madrid) and Master degree in Strategic Quality Management (Portsmouth Business School).Certified Six Sigma Black Belt and Lean Expert.Based in Madrid, Spain. Married, two children, both students attending college and high school.

14:25

Career Café

15:00

Interview with Bina48, Humanoid Robot by Ajit Manocha, President and CEO, SEMI

 

Panel Opening

15:40 Panel discussion

Leading in the Digital Age: How Technology is Reshaping Leadership

Moderation Cassandra Melvin, Director of Operations, SEMI Europe
Cassandra Melvin

Cassandra Melvin
Director of Operations
SEMI Europe

Cassandra Melvin

Biography
Cassandra Melvin received her BS in Business Management and Neuropsychology at Rensselaer Polytechnic Institute and is Director of Operations at SEMI Europe. For the nine years prior to joining SEMI, she held the position Global Product Manager at Atotech Deutschland GmbH, where she was responsible for managing several hundred electroplating chemistry products in its Semiconductor and Functional Electronic Coatings division. She began her career at the SUNY Polytechnic Institute (formerly the College of Nanoscale Science and Engineering) as a Business Manager focused on strategic and technical programs for semiconductor chemistry and equipment manufacturers. She also held various project and program management roles in clean room operations and IT at SUNY. Cassandra's written work has been published in leading technical magazines and presented at key conferences globally. As an advocate for diversity and inclusion, she is actively involved in SEMI's efforts to promote diversity within the semiconductor industry.

Panelists
  • Lior Maayan, Corporate Vice President, Business Development & Chief Marketing Officer Marketing officer, Orbotech Ltd. a KLA company
  • Lior Maayan

    Lior Maayan
    Corporate Vice President, Business Development & Chief Marketing Officer Marketing officer
    Orbotech Ltd. a KLA company

    Lior Maayan

    Biography
    Mr. Lior Maayan is a Corporate Vice President, Strategy & Business Development, and Chief Marketing Officer of Orbotech, a KLA company, where he has been responsible for the Company’s overall marketing, business development and M&A strategy since September 2014. Prior to joining Orbotech, Mr. Maayan served as the Chief Executive Officer of OrSense Ltd., where he successfully led the inception, development and commercialization of the world’s first noninvasive hemoglobin monitoring system. Previously, Mr. Maayan was Chief Operating Officer at Compugen Ltd., a leading life sciences company. Before that, he served in a number of R&D, marketing and managerial positions at Scitex Corporation Ltd (now part of HP / Kodak). Mr. Maayan holds an MBA from INSEAD in Fontainebleau, France; an MSc in Behavioral and Management Sciences from the Technion, Israel’s Institute of Technology; and a BSc in Physics and Mathematics from the Hebrew University, as a graduate of the Talpiot program.

  • James Robson, Corporate Vice President and Regional General Manager, Applied Materials Europe
  • James Robson

    James Robson
    Corporate Vice President and Regional General Manager
    Applied Materials Europe

    James Robson

    Biography
    James Robson is a corporate vice president and regional general manager of Applied Materials in Europe for the Global Field Group. He is responsible for European customer accounts with over $1B revenue and achieving operational efficiencies across all segments and support functions. In this role, he chairs the European Regional Council to ensure the company has the correct talent and a competitive infrastructure in the regions to support Applied’s strategy. He also serves as co-legal managing director for the company’s site in Alzenau, Germany.Mr. Robson first joined Applied Materials in April 1989 as a process engineer in Etch and has held a variety of positions in engineering, sales, marketing and management across the business units, including general manager of European Crystalline Silicon Solar Products; vice president of global sales for the Energy and Environmental Solutions group; and general manager of the Glass and Web divisions. He also was vice president and strategic account general manager for Infineon / Qimonda and European Regional Accounts.Prior to joining Applied Materials, Mr. Robson served as a line process engineer at Siemens Microelectronics in Regensburg, Germany and at General Instruments in Scotland.Mr. Robson received an honors bachelor of science degree in electrical and electronic engineering from the University of Edinburgh.

  • An Steegen, CTO, Umicore
  • An Steegen

    An Steegen
    CTO
    Umicore

    An Steegen

    Biography
    Dr. An Steegen holds a Ph.D in Material Science and Electrical Engineering from the Catholic University of Leuven, KUL, in collaboration with the Interuniversity Microelectronics Center, IMEC, in Belgium. She joined IBM Semiconductor in Fishkill, New York, in 2000. As Semiconductor Technology R&D Director, she was responsible for IBM’s advanced logic technology development for the mobile and ASICS market and she served as host executive in charge of IBM’s International Semiconductor Development Alliance.In 2010, Dr. Steegen rejoined IMEC as Executive Vice President for Semiconductor Technology & Systems. She was in charge of IMEC’s technology portfolio and strategic innovation in the areas of ICT, health, entertainment, mobility and energy with partnerships across the entire semiconductor eco-system.’Dr. Steegen is recognized as leader in semiconductor R&D. She holds many patents and she is an acclaimed and inspiring thought leader in innovation in the IoT and digitalization era. She is a member of the SEMI Board of Industry Leaders and she is a frequently asked speaker at the semiconductor industry’s prominent conferences and events.Recently, Dr. An Steegen joined Umicore as Chief Technology Officer, responsible for the company’s overall innovation strategy and in charge of Umicore’s central R&D to ensure the business units technology leadership in the areas of clean mobility materials, recycling and sustainability. With her background, she will empower Umicore’s transformation in this age of accelerated digitalization.Dr. An Steegen is a member of the Board of Directors of Barco NV, Kortrijk, Belgium, since 2017.

  • Susan Weiher, VP Operations Engineering, OSRAM
  • Susan Weiher

    Susan Weiher
    VP Operations Engineering
    OSRAM

    Susan Weiher

    Biography
    Dr. Susan Weiher is an Industry Veteran with 28 years of experience in the Semiconductor industry. Upon Completion of her PhD. of Chemical Engineering from Stanford University in 1991, Susan joined a young and upcoming industry - Semiconductor Equipment Manufacturing- as a development engineer for Applied Materials. Shortly after launcing her first product for the DRAM industry, Susan moved to Europe to support product proliferation and win new customers for AMAT as a Process Development manager. After 22 years at AMAT, she took the next step in her career to move closer to the end users of the chips build by AMAT equipment and joined GLOBALFOUNDRIES to run the Advanced Manufacturing Engineering and operation team at GF Fab 1 in 2013. The step to the manufacturing side brought many new challenges and a much deeper appreciation for the complexity and innovation of semiconductor manufacturing. This year on October 1, Susan took the next step and joined OSRAM Opto as the VP of Engineering.

16:30

Career Café

17:00

European R&D Skills Networking Reception