Thursday, November 14, 2024
10:00
Semiconductor Equipment, the enabler of the semiconductor industry
  Taguhi Yeghoyan, Senior Analyst – Wafer Fab Equipment, Yole Group
Semiconductor Equipment, the enabler of the semiconductor industry

Taguhi Yeghoyan
Senior Analyst – Wafer Fab Equipment
Yole Group

Abstract
Semiconductor equipment is an integral part of the progress of semiconductor devices. It facilitates decreased production costs, advancements in device technology, and the application of sustainability measures, among others. To address these needs, semiconductor equipment vendors navigate through supply chain challenges, geopolitical tensions, and chipmaker CapEx fluctuations while collaborating with all industry actors and delivering a complete solution of machine hardware and software tied with the operated process. In this talk, we dive deep into the relationship between semiconductor equipment types and the semiconductor ecosystem.

Biography
Taguhi Yeghoyan, PhD is Senior Technology & Market Analyst, Semiconductor Equipment at Yole Group.Taguhi’s mission is to daily follow the semiconductor industry and its evolution. Based on her expertise in this field, especially on the semiconductor supply chain (processes, materials, equipment, and related applications), Taguhi produces technology & market products and is engaged in relevant custom projects.Prior to Yole Group, she worked in world-class European research centers and laboratories, including imec (Belgium), LMI (Lyon, France) and LTM at CEA Leti (Grenoble, France). All along her past experiences, Taguhi has authored or co-authored two patents and more than ten papers.She has graduated from Wroclaw University of Technology (Poland) and University of Lyon (France). Taguhi also completed her PhD in material science from the University of Lyon (France).

10:20
SCREEN water management initiatives
  Jim Snow, Senior Technologist, Screen SPE USA
SCREEN water management initiatives

Jim Snow
Senior Technologist
Screen SPE USA

Abstract
Details will come soon.

Biography
Dr. Jim Snow is a Senior Technologist in the Global Sustainability group at SCREEN Semiconductor Solutions. He has over 30 years in the semiconductor industry on both the liquid and gas sides of the business. He began his semiconductor career developing specialty gas purifiers and contaminant analyzers with a major component supplier, then subsequently learned the liquid side developing wet etch and clean processes at IMEC in the Ultra Clean Processing group. He received his Ph.D. in chemistry from MIT. Dr. Snow has numerous publications in journals, book chapters, patents and conference presentations. He is a member of the IRDS UPW and ESH/S groups, SEMI SCC working groups and co-lead of the SIA PFAS Consortium Articles WG.

10:40
SCREEN single wafer Life-Cycle CO2 Analysis
  Harold Stokes, Senior Manager, R&D Strategy, SCREEN SPE USA
SCREEN single wafer Life-Cycle CO2 Analysis

Harold Stokes
Senior Manager, R&D Strategy
SCREEN SPE USA

Abstract
SCREEN Semiconductor Solutions is a division of SCREEN Holdings based in Kyoto, Japan. As a major supplier of wafer fab equipment, we are committed to providing world class products in an environmentally responsible manner. To contribute to the decarbonization and sustainable development of global society, the SCREEN Group is working to reduce the greenhouse gases (GHG) emitted by our businesses. Our goal is to support the achievement of carbon neutrality by 2050. With respect to the CO2 emissions produced by our business activities, we are currently implementing climate change initiatives at a pace that will help to keep global temperature rise below 1.5°C. Going forward, the Group will continue to promote sustainable initiatives targeting carbon neutrality, including energy conservation and creation efforts at our facilities as well as the introduction of energy storage and renewable energy systems. In this study, we ask the question “Where is the hot spot?” and share the results of CO2 lifecycle analysis on one of our most ubiquitous tool platforms.

Biography
Dr. Harold Stokes received his PhD in Chemistry from the University of Texas at Dallas. After completing his education, he joined Atmel as a lithography process engineer where he was responsible for performing evaluations on incoming resist samples. After leaving Atmel, he served as a final clean engineer with Photronics responsible for ensuring defect free photomasks moving to receive pellicle mount. Approximately 20 years ago Dr. Stokes joined SCREEN to support the installation and qualification of lithography systems for customers in the US. From 2013 until 2021 he served as imec assignee for the advanced lithography program activities between SCREEN and imec. After completing his assignment in Belgium, he returned to the US where he joined SCREEN’s global marketing team. Currently, he is serving as R&D strategy manager within SCREEN’s technology enablement department. His career experience includes lithography, cleaning, and surface defect metrology.

11:00
Sulfuric Acid Reduction in Post-Ash Cleans
  Philippe Garnier, Wet 3Di R&D - Senior Member of Technical Staff, STMicroelectronics
Sulfuric Acid Reduction in Post-Ash Cleans

Philippe Garnier
Wet 3Di R&D - Senior Member of Technical Staff
STMicroelectronics

Abstract
Details will come soon

Biography
Details will come soon

11:20
Climate-aware semiconductor manufacturing and what that means to lithography
  Emily Gallagher, program director, Sustainable Semiconductor Systems and Technologies, imec
Climate-aware semiconductor manufacturing and what that means to lithography

Emily Gallagher
program director, Sustainable Semiconductor Systems and Technologies
imec

Abstract
The semiconductor industry has been fueled by innovation. We have come to rely on disruptive innovations like new exposure wavelengths or directional etch processes. Driving to wafer measurables is the norm but given the human-induced impacts on our environment, we must also be aware of the climate impacts. This is not possible without quantitative assessment. To provide that information, imec has developed a cradle-to-gate life cycle analysis technology nodes based on bottom-up modeling of a generic high-volume semiconductor fabrication fab. The resultant virtual fab is used to identify major process contributors to emissions, to provide sensitivity analysis, and to enable future patterning decisions with a quantification of their environmental ramifications. Overall technology data will be shown, along with a more targeted examples relevant to lithography.

Biography
Emily Gallagher is a program director for SSTS at imec, focusing on sustainability in semiconductor manufacturing processes. Emily earned her PhD in physics from Dartmouth College where she studied free electron lasers. After graduation, she joined IBM and became immersed in semiconductor technology. She held many wafer fabrication roles at IBM from functional characterization to process integration, to leading the EUV mask development effort. She joined imec in 2014 to continue EUV development work. Emily has authored over 100 technical papers, holds over 20 patents, is an SPIE Fellow and co-leads the SEMI Semiconductor Climate Consortium Scope1 Working Group.

11:40
Details will come soon
  Naser Belmiloud, R&D Manager, SCREEN SPE Europe
Details will come soon

Naser Belmiloud
R&D Manager
SCREEN SPE Europe

Naser Belmiloud

Abstract
Details will come soon

Biography
Dr. Belmiloud earned his Master's in physics and Ph.D. in Electronics from the University of Bordeaux, where he focused on MEMS-based sensors for probing fluid properties and biosensor applications. Following this, he undertook a postdoctoral fellowship in biophysics at Massey University in New Zealand. He then joined Imec and subsequently began his career at SCREEN in 2012. At SCREEN, Dr. Belmiloud held various roles, which included supporting the process, integrating new products, and overseeing R&D collaborations for SCREEN SPE in Europe.

12:00
SCREEN’s Sustainable Cost-of-Ownership (CoO) Portfolio for Wafer Inspection and Thickness Measurement Tools and experience on High Volume Manufacturing of Power and Automotive
  Alessandro Rossi, Product and Application Engineer, SCREEN SPE Europe
SCREEN’s Sustainable Cost-of-Ownership (CoO) Portfolio for Wafer Inspection and Thickness Measurement Tools and experience on High Volume Manufacturing of Power and Automotive

Alessandro Rossi
Product and Application Engineer
SCREEN SPE Europe

Alessandro Rossi

Abstract
Power, automotive, and IoT device manufacturers are constantly confronted with the simultaneous need to fulfill stringent quality requirements, boost productivity on their production lines, and reduce the associated cost of ownership (CoO).Inline inspection and measurement of high volumes of critical wafers is becoming increasingly crucial. SCREEN Semiconductor Solutions is addressing this specific market need with a portfolio of dedicated tools, specifically designed to reduce tool cost, footprint, downtime.The SCREEN Semiconductor Solutions proposal with the ZI-3500 is able to cover customer’s needs, with the front side micro inspection, for smaller and fine defects detections, to the back side and back side edge macro inspections to detect deposits and edge cracks that cause wafer damage, ensuring the large area coverage. While the ZI-3600 can even double the throughput capacity, these tools family can also achieve automatic defects classification, using the AI potential, reducing the operation time, and working time cost.On the thickness measurement front, the VM-3500 system offers spectroscopic reflectometry integrated with high-throughput features, while the RE-3500 system, combines single-wavelength spectroscopic ellipsometry, with triple reflectometry heads.

Biography
Alessandro Rossi is the product manager of metrology and lithography tool. Alessandro has worked for Screen for 26 years starting from service department and supporting several customers in Europe and quickly moved to the Screen process department for application support on lithography tools, thickness measurement tools on PV, and Screen Automatic Defectivity Inspection tools. He also participated on JDP projects as a Litho immersion process and Negative Developer and gathered several years of experience on SiC applications.

12:10
A quantum leap in the relationship with sustainable computing
  Nicolas Daval, Senior VP of Engineering, Quobly
A quantum leap in the relationship with sustainable computing

Nicolas Daval
Senior VP of Engineering
Quobly

Nicolas Daval

Abstract
There are problems that current computers will never have the computing capacity to solve, others that could be solved much more efficiently. Quantum computers will reach uncharted territory in the fields of quantum mechanics & chemistry, AI, complex optimization, or system dynamics modeling. Quobly will leverage the existing semiconductor industry to produce a cost-competitive, full-scale computing system, adapted to the need of its future customers. Through the use of well-known, high-performance semiconductor technologies, notably FD-SOI, Quobly has a pragmatic and sustainable path to get computing into the next generation.

Biography
Nicolas Daval is Senior Vice President of Engineering at Quobly, bringing over 20 years of experience from semiconductor giant Soitec, where he played a key role in launching FD-SOI technology. At Quobly, his focus is on scaling quantum technology hand-in-hand with industry partners and building an effective, collaborative engineering team. He is responsible for both team dynamics and manufacturing partnerships.