Tuesday, November 14, 2023
 

CEO Summit

10:00
Welcome Remarks
  Laith Altimime, President, SEMI Europe
Welcome Remarks
Laith Altimime

Laith Altimime
President
SEMI Europe

Laith Altimime

Abstract
Coming Soon

Biography
Laith Altimime, as President of SEMI Europe, leads SEMI’s activities in Europe and the Middle East and Africa (EMEA). Altimime has P&L responsibility as well as ownership of all Europe region programs and events, including SEMICON Europa. He is responsible for establishing industry standards, advocacy, community development, expositions, and programs. He provides support and services to SEMI members worldwide that have supply chain interests in Europe. He manages and nurtures relationships with SEMI members in the region and globally as well as with local associations and constituents in industry, government, and academia. Altimime has more than 30 years of international experience in the semiconductor industry. Prior to joining SEMI in 2015, He held senior leadership positions at NEC, KLA-Tencor, Infineon, Qimonda and imec. Altimime holds an MSc from Heriot-Watt University, Scotland.

10:20
Opening Remarks
  Ajit Manocha, President and CEO, SEMI
Opening Remarks
Ajit Manocha

Ajit Manocha
President and CEO
SEMI

Ajit Manocha

Abstract
Coming Soon

Biography
Throughout his career, Ajit Manocha has been a champion of industry collaboration as a critical means of advancing technology for societal and economic prosperity. He has been adept at forming strong partnerships with customers, suppliers, governments, academia, and communities for these efforts.In his current role as President and CEO of SEMI, the global industry association serving the electronics manufacturing supply chain, Manocha has positioned the organization to tackle major challenges facing the industry by building up workforce development programs to address its growing talent shortage and lack of gender parity.Previously, he held senior worldwide operations leadership roles at Philips Semiconductors (NXP) and Spansion before serving as President and CEO at GLOBALFOUNDRIES. He has served on the boards of SEMI, SIA, and GSA.Manocha began his career as a research scientist at AT&T Bell Laboratories, where he was granted over a dozen patents related to semiconductor manufacturing processes that served as the foundation for modern microelectronics manufacturing.Manocha was an advisor to President Obama on the Advanced Manufacturing Partnership Steering committee and on the President’s Council of Advisors on Science and Technology (PCAST). In 2012, during his tenure at GLOBALFOUNDRIES, he was awarded the prestigious “EHS Achievement Award — Inspired by Akira Inoue” for his commitment and action on Environmental Health and Safety standards. Additionally, he has excelled in people development by teaching courses such as “Leadership by Example” and “Classroom to Cleanroom to Boardroom.”In December 2019, Manocha was named an “All Star of the Semiconductor Industry” by VLSI Research for his visionary leadership in restructuring SEMI from its traditional position to represent the expanded electronics supply chain. In February 2020, he was inducted into the Silicon Valley Engineering Hall of Fame.

10:40
A World Under Pressure Needs Skyrocketing Collaboration
  Luc Van den hove, President and CEO, imec
A World Under Pressure Needs Skyrocketing Collaboration
Luc Van den hove

Luc Van den hove
President and CEO
imec

Luc Van den hove

Abstract
We are living through a time of complexity. Geopolitical tensions, economic instability and the climate crisis form a knot of mutually reinforcing challenges. And as the problems become increasingly complex, so do the solutions. We need system solutions that build on cross-pollinations between sciences, sectors and industries, and with semiconductors as a flywheel enabling disruptive innovation. To handle the exponentially growing complexity in a sustainable way, we will need a multitude of semiconductor and system scaling approaches. And collaboration between regions across the globe, leveraging the expertise of the entire value chain, will be key. The chips acts have the potential to strengthen different regions in the world and complement each other. They offer an opportunity to create critical mass to drive progress in semiconductor technologies, which is essential to develop the disruptive system solutions that our world needs today. If they’re done in a smart way, the various chips acts offer an opportunity to accelerate innovation. They are a catalyst to open up, connect strengths, and pursue cross-border collaboration. Only of we deliver as one, we will succeed.

Biography
Luc Van den hove is President and CEO of imec since July 1, 2009. Before he was executive vice president and chief operating officer. He joined imec in 1984, starting his research career in the field of silicide and interconnect technologies.In 1988, he became manager of imec’s micro-patterning group (lithography, dry etching); in 1996, department director of unit process step R&D; and in 1998, vice president of the silicon process and device technology division. In January 2007, he was appointed as imec's EVP & COO. Luc Van den hove received his PhD in electrical engineering from the KU Leuven, Belgium.He has authored or co-authored more than 200 publications and conference contributions. In 2023, he was honored with the Robert N. Noyce medal for his leadership in creating a worldwide research ecosystem in nanoelectronics technology with applications ranging from high-performance computing to health.

11:00
Boosting Technological Innovation and its Impact on Society – the Vital Role of RTOs
  Sébastien Dauvé, CEO, CEA-Leti
Boosting Technological Innovation and its Impact on Society – the Vital Role of RTOs
Sébastien Dauvé

Sébastien Dauvé
CEO
CEA-Leti

Sébastien Dauvé

Abstract
More than ever before, the semiconductor has become a major economic and geopolitical issue, as well as one of sovereignty or climate. In this context innovation has an essential role to play, not only in contributing to European sovereignty, but also in bringing about major technological breakthroughs.Today, CEA Leti’s teams are fully committed to meet these challenges through many joint programs with partners. In the end, the so called “FAMES” pilot line proposed for chips act should be a formidable launchpad for industrializing these innovations.The presentation will provide an overview of current and future programs, as well as a timetable.

Biography
Sébastien Dauvé was named CEO of CEA-Leti effective on July 1, 2021, after more than twenty years of experience in microelectronics technologies and their applications, including clean mobility, medicine of the future, cybersecurity, and power electronics.Sébastien Dauvé started his career at the French Armament Electronics Center, where he worked on developing synthetic-aperture radar. In 2003, he joined CEA-Leti as an industrial transfer manager and supervised several joint research laboratories, in particular with the multinational Michelin.In 2007, Sébastien Dauvé became a laboratory manager, then head of an R&D department in the area of sensors applied to the Internet of things and electric mobility. During this time, he supported the dissemination of new technologies in industry, including the automotive industry (Renault), aeronautics, national defense (SAFRAN), and microchips with the industry leader Intel. He played an active role in the creation of start-ups in application fields ranging from health to infrastructure security, leading to dozens of new jobs. In 2016, he became Director of the CEA-Leti Systems Division.From sensors to wireless communication, Sébastien Dauvé has played an active role in the digital transformation, focused on coupling energy frugality and performance. He has made cross-disciplinary approaches central to innovation by harnessing the expertise of talented teams with diverse backgrounds. Their goal is to provide technological tools for meeting the major societal challenges of the future.Sébastien Dauvé is a graduate of the French Ecole Polytechnique and the National Higher French Institute of Aeronautics and Space (ISAE-SUPAERO).

11:20
Building a Responsible & Resilient Supply Chain
  Christoph Schell, Executive Vice President and Chief Commercial Officer, Intel
Building a Responsible & Resilient Supply Chain
Christoph Schell

Christoph Schell
Executive Vice President and Chief Commercial Officer
Intel

Christoph Schell

Abstract
For 50+ years, semiconductors have powered world-changing technology that has improved the life of every person on the planet – with the world becoming increasingly more digital, demand for the next 50 years is only increasing. To keep pace, a resilient and secure supply of semiconductors is essential. Hear from Christoph Schell, Intel EVP, Chief Commercial Officer, and GM of Sales, Marketing, and Communication, as he shares insights into building that resilient and secure supply chain. Throughout his presentation, he will discuss the value of investments across the EU, transforming traditional manufacturing strategies, and grounding manufacturing in principles of sustainability.

Biography
Christoph Schell is the Executive Vice President and Chief Commercial Officer of the Sales, Marketing and Communications teams at Intel Corporation. In his role, Schell oversees Intel’s global sales, business management, marketing, communications, corporate planning, customer support and customer success teams, leading the company’s efforts to foster innovative go-to-market approaches that broaden Intel’s business opportunities and deepen customer and partner relationships and outcomes worldwide.Schell joined Intel in March 2022 from HP Inc., where he was Chief Commercial Officer. During his 25 years with the company, Schell held various senior management roles across the globe, including President of 3D Printing & Digital Manufacturing. Prior to rejoining HP in 2014, Schell served as Executive vice president of Growth Markets for Philips, where he led the lighting business across Asia Pacific, Japan, Africa, Russia, India, Central Asia and the Middle East. He started his career in his family’s distribution and industrial solutions company before working in brand management at Procter & Gamble.Schell holds bachelor’s degrees from ESB Reutlingen in Germany and École Supérieure de Commerce de Reims in France. He is fluent in German, English and French.

11:40
Sustainability through Innovation: a Superior Technology Story
  Koen Lauwers, President Semiconductor Division, Edwards
Sustainability through Innovation: a Superior Technology Story
Koen Lauwers

Koen Lauwers
President Semiconductor Division
Edwards

Koen Lauwers

Abstract
Semiconductor sales are powering towards a staggering 1 billion USD in the next decade and we all want to be around to enjoy the results – quantum computing, smart healthcare, robotic servants, autonomous vehicles … space travel(!) We find ourselves on the cusp of remarkable growth and we are faced with a unique opportunity too important to pass up. As Edward’s technology influence expands from the sub fab to chamber solutions to long-term after-service – our approach to sustainability is evolving too: our pursuit of superior technology must, necessarily, be intrinsically interwoven with sustainable innovation. Our Science Based Targets initiative (SBTi) commitments serve as a constant and resounding reminder, urging us to ensure that our explorations of novel approaches lead us to advancements that are not just distinct, but genuinely superior. Lower power; smaller footprint; non-fuel products; minimising and recycling resources and raw materials; product longevity; predictive maintenance programmes; and repairability [NEW] are all front and centre as we develop new products and approaches. We are reaffirming our commitment to both environmental consciousness and sustainability with real-world measures across the organization – embedding checks and balances not just in our R&D but in all our business processes. Leveraging principles of sustainability, we aim to drive a revolution characterized by longevity and responsibility, ensuring that our growth is always aligned with the environment and society at large. There will be some step changes but our journey is a continuous pursuit of incremental improvements. Some seemingly modest strides will deliver an enduring positive impact – and deliver transformation through superior technology.

Biography
Koen Lauwers, joined Atlas Copco in 1997, joining as a Calculation Engineer and has since then built a successful career in the Group, including international assignments in the United States and Germany.Koen joined Edwards in 2014 following the acquisition by Atlas Copco, taking the role VP of Marketing and focusing on the industry segment and implementation of synergies. In 2017 he was appointed President of the Industrial Vacuum division, before being announced as the new President of the Semiconductor division on March 30, 2023.Koen holds a Master’s Degree in Electro-Mechanical Engineering from the University of Leuven in Belgium and an MBA from the Antwerp Management School in Belgium.

12:00
Curiosity & Collaboration: Innovating Together for the Sustainable Progress of the Semiconductor Industry
  Stephan Haferl, CEO, Comet Group
Curiosity & Collaboration: Innovating Together for the Sustainable Progress of the Semiconductor Industry
Stephan Haferl

Stephan Haferl
CEO
Comet Group

Stephan Haferl

Abstract
In the ever-evolving semiconductor industry, where technological advancements shape the world around us, the need for sustainable progress has become increasingly vital, and collaboration an imperative catalyst for driving innovation. Emphasizing the power of curiosity, we explore how cultivating a collective spirit of exploration and inquiry can lead to transformative solutions that address environmental and societal challenges. By fostering collaboration across the value-chain, from manufacturers to researchers, we can forge a path towards the disruption of the existing norms, and thus challenge status quo. Join the journey where curiosity meets collaboration, propelling us towards a sustainable tomorrow in the semiconductor industry.

Biography
Stephan Haferl is the CEO of Comet Group since, a globally leading Swiss technology firm developing and producing innovative high-tech solutions based on x-ray and radio frequency for the semiconductor industry. With a strong track record and proven performance in business management, he is driving the company’s transformation with focus on innovation, operational excellence and customer orientation.Before joining Comet in 2007, where he was successfully working in various management positions, he held the positions of General Manager at Bartec-Meta Physics SA and Chief Operating Officer at Bartec Bacab SA.Dr. Haferl is a distinguished alumnus of the prestigious Swiss Federal Institute of Technology (ETH), where he obtained his Master's degree in mechanical and process engineering. He furthered his academic journey by earning a Ph.D., cementing his technological expertise.

12:20
Generative AI for European Growth and Sustainable System Design
  Rebecca Dobson, Corporate Vice President, EMEA, Cadence
Generative AI for European Growth and Sustainable System Design
Rebecca Dobson

Rebecca Dobson
Corporate Vice President, EMEA
Cadence

Rebecca Dobson

Abstract
The European Union Chips Act is set to reinvigorate Europe’s microelectronics ecosystem and increase the vitality of the domestic value chain. Foundries, IP, and manufacturing are onshoring, while 3D-IC and chiplets are changing the core structure of the design flow. And the automotive industry and supply chain are evolving to meet the opportunities of a convergence of electric vehicles and digitization. However, a projected workforce gap may constrain our ability to fully invest in these trends. Accompanying these market forces, semiconductor design complexity makes the work even more challenging.Generative AI is reshaping how products work and how we design semiconductors. What can you expect from generative AI today and in the future? How does generative AI impact team productivity and the structure of design teams? How will the large language model (LLM) and generative pre-trained (GPT) models such as ChatGPT, Bard, and Bing have an impact on semiconductor design? Where is Cadence headed with generative AI solutions?

Biography
Rebecca Dobson joined Cadence in January 2020 as corporate vice president and leads the field engineering and sales teams for the EMEA region. During her tenure at Cadence, Rebecca has doubled the growth of the region, put in place extensive training and development plans for her teams, and has developed an empowered culture that rewards transparency, accountability, and calculated risk-taking. She has also created a focus on solutions and market verticals where Cadence is well-positioned to bring considerable value to its customers.Prior to Cadence, she was global senior vice president of sales and marketing at Inmarsat and spent almost eleven years at Arm, the world’s leading semiconductor IP company. In her early career, she drove growth in several blue-chip businesses and startups, supporting customers across the automotive, infrastructure, consumer electronics, industrial, and communications industries.

12:40
Driving Decarbonization and Digitalization. Together.
  Rutger Wijburg, Chief Operations Officer, Infineon Technologies AG
Driving Decarbonization and Digitalization. Together.
Rutger Wijburg

Rutger Wijburg
Chief Operations Officer
Infineon Technologies AG

Rutger Wijburg

Abstract
Coming Soon

Biography
Rutger Wijburg has been a member of the Management Board of Infineon Technologies AG and Chief Operations Officer since 2022 (appointed until 31 March 2025)Rutger Wijburg was born in Nijmegen (Netherlands) in 1962. He studied Electrical and Electronics Engineering at the University of Twente, NL and received his PhD in 1990.He started his career in 1990 at the University of Twente. Before joining Infineon in 2018, he held various leading positions at Philips, NXP and Globalfoundries.

13:00
Advanced CMOS Drives Sustainable Semiconductor Market Growth
  Paul de Bot, General Manager EMEA, TSMC
Advanced CMOS Drives Sustainable Semiconductor Market Growth
Paul de Bot

Paul de Bot
General Manager EMEA
TSMC

Paul de Bot

Abstract
Paul de Bot will discuss the growth characteristics of the semiconductor market, which is expected to reach $1T by 2030. A range of applications including smartphones, high-performance computing and automotive, increasingly benefits from the power/performance/area improvements offered by Moore’s law. This makes advanced CMOS (currently FinFET) technology by far the largest growth driver of the semiconductor market across application areas.TSMC continues to invest in technology innovation and capacity expansion to enable the market growth. Meanwhile, TSMC focus on green manufacturing, which is the essential steppingstone for the sustainable semiconductor growth through renewable energy, greenhouse gas reduction, water stewardship and waste management.

Biography
Mr. Paul de Bot joined TSMC in 2015, and after roles in account management, was appointed General Manager EMEA in 2022, responsible for the TSMC business in Europe and Israel. Mr. Paul de Bot started his career at Philips in the area of video technology, reaching the position of Chief Strategy Officer of the digital TV systems division of Philips. In 2003 he joined Philips Semiconductors (which later became NXP Semiconductors) as Vice President Strategy & Business Development for their consumer, automotive and identification businesses, respectively. Prior to joining TSMC, Mr. Paul de Bot had further executive roles in the software industry and in corporate finance.Mr. Paul de Bot received his M.S. in EE and Engineering Doctorate in Telecommunications from Eindhoven University of Technology of The Netherlands, and his M.S. in Business Valuation from TIAS Business School of The Netherlands.

13:15 Closing Remarks
  Laith Altimime, President, SEMI Europe
Laith Altimime

Laith Altimime
President
SEMI Europe

Biography
Laith Altimime, as President of SEMI Europe, leads SEMI’s activities in Europe and the Middle East and Africa (EMEA). Altimime has P&L responsibility as well as ownership of all Europe region programs and events, including SEMICON Europa. He is responsible for establishing industry standards, advocacy, community development, expositions, and programs. He provides support and services to SEMI members worldwide that have supply chain interests in Europe. He manages and nurtures relationships with SEMI members in the region and globally as well as with local associations and constituents in industry, government, and academia. Altimime has more than 30 years of international experience in the semiconductor industry. Prior to joining SEMI in 2015, He held senior leadership positions at NEC, KLA-Tencor, Infineon, Qimonda and imec. Altimime holds an MSc from Heriot-Watt University, Scotland.