Thursday, November 17, 2022
 

SCREEN: Innovation Inspired By Sustainability

09:45 Welcome Note, Dr. Martin Hollfelder, Director of Technology and Service, Europe
09:50 Keynote: Sustainability Driven Innovation: Transistor Scaling and Defectivity Targets for Sustainable Manufacturing, Dr. Okuno Yasutoshi, Vice President & Corporate Officer of Technology Strategy, SCREEN Semiconductor Solutions Co
10:10 Exotic Applications of Nanosecond Laser Annealing, Dr. Sébastien Kerdilès, Head of Thermal Treatments Engineering, CEA - LETI
10:30 Sustainable SiC: the Advantages of Engineering Substrates, Dr. Nicolas Daval, Expertise Labs Senior Manager, Soitec
10:50 Towards Sustainable Wet Processing for Advanced Integration Technologies, Dr. Efrain Altamirano-Sanchez, R&D Manager of SIP group, imec
11:10 How can Track Hardware Boost Lithographic Performance?, Andreia Santos, R&D Manager, SCREEN Semiconductor Solutions Co
11:20 Reducing Bulk Chemicals by SPM Reuse in Single-Wafer Process Applications, Dr. Jim Snow, Senior Technologist, SCREEN SPE USA
11:35 High-Volume Automatic Visual Inspection and Trench Thickness Measurement on Si, SiC, and GaN Wafers ,Alessandro Rossi, Product and Application Engineer, SCREEN SPE Europe
11:50 SCREEN UV Laser Anneal Technology, Louis Thuries, Product Manager, SCREEN LASSE