Tuesday, November 15, 2022
 

Opening Ceremony

10:00
Opening Remarks
  Ajit Manocha, President and CEO, SEMI
Opening Remarks
Ajit Manocha

Ajit Manocha
President and CEO
SEMI

Ajit Manocha

Abstract
Welcome Note

Biography
Ajit Manocha is the president and CEO of SEMI, the global industry association serving the electronics manufacturing supply chain. Manocha has more than 35 years of global experience in the semiconductor industry.Manocha was formerly CEO at GLOBALFOUNDRIES, during which he also served as vice chairman and chairman of the Semiconductor Industry Association (SIA). Earlier, Manocha served as EVP of worldwide operations at Spansion. Prior to Spansion, Manocha was EVP and chief manufacturing officer at Philips/NXP Semiconductors. He began his career at AT&T Bell Laboratories as a research scientist where he was granted several patents related to microelectronics manufacturing.

10:20
Welcome Remarks
  Laith Altimime, President, SEMI Europe
Welcome Remarks
Laith Altimime

Laith Altimime
President
SEMI Europe

Laith Altimime

Abstract
Welcome Note

Biography
As President of SEMI Europe, Laith Altimime leads SEMI’s activities in Europe and the Middle East and Africa (EMEA). Altimime has P&L responsibility as well as ownership of all Europe region programs and events, including SEMICON Europa. He is responsible for establishing industry Standards, advocacy, community development, expositions, and programs. He provides support and services to SEMI members worldwide that have supply chain interests in Europe. He manages and nurtures relationships with SEMI members in the region and globally as well as with local associations and constituents in industry, government, and academia. Altimime has more than 30 years of international experience in the semiconductor industry. Prior to joining SEMI in 2015, He held senior leadership positions at NEC, KLA-Tencor, Infineon, Qimonda and imec.Altimime holds an MSc from Heriot-Watt University, Scotland.

10:30
Deep Tech: the Lodestar to Meet the Challenges of the 21st Century
  Luc Van den hove, President and CEO, imec, imec
Deep Tech: the Lodestar to Meet the Challenges of the 21st Century
Luc Van den hove

Luc Van den hove
President and CEO, imec
imec

Luc Van den hove

Abstract
Deep tech, enabled by semiconductor technology, will provide disruptive innovations that are essential for tackling humanity's monumental challenges. For example, the world needs a transformation in medicine to address current and future challenges. Many virologists believe that the likelihood of future pandemics is increasing, so we must act now to be prepared for the future. By leveraging the most recent technological advances, we can radically transform medicine to protect the world from future pandemics. Medicine will become digital, enabling vital advancements, including decentralized and low-cost personalized drug and vaccine production. However, the challenges to realizing these critical advancements are enormous. Just as Moore’s law drove the semiconductor industry to respond to increasing computing and storage challenges, we could accelerate medical innovations by a technology roadmap where technology platforms are developed pre-competitively. The transformation of medicine will require the handling and analysis of exponentially growing amounts of data, demanding enormous computing power. And healthcare is just one of the many new application areas which will depend on the exponential growth of data. To enable this sustainably, we need an even more aggressive semiconductor roadmap than what we have achieved over the last decades. At imec, we have proposed a roadmap on how we can continue to enable more performant semiconductor technologies. And we will leverage our core semiconductor expertise to realize deep-tech innovations by co-innovating at the semiconductor technology level, the system and application levels, and by leveraging expertise from many domains such as material science, biomedical, pharma, AI, and others. Building flourishing deep-tech ecosystems must become a priority to meet the challenges of the 21st century.

Biography
Luc Van den hove is President and CEO of imec since July 1, 2009. Before he was executive vice president and chief operating officer. He joined imec in 1984, starting his research career in the field of silicide and interconnect technologies.In 1988, he became manager of imec’s micro-patterning group (lithography, dry etching); in 1996, department director of unit process step R&D; and in 1998, vice president of the silicon process and device technology division. In January 2007, he was appointed as imec's EVP & COO. Luc Van den hove received his PhD in electrical engineering from the KU Leuven, Belgium.He has authored or co-authored more than 200 publications and conference contributions.

10:50
Enabling collaboration in the Automotive Value Chain: Faster, more Transparent and Secure
  Barbara Frenkel, Member of the Executive Board, Procurement, Dr. Ing. h.c. F. Porsche AG
Enabling collaboration in the Automotive Value Chain: Faster, more Transparent and Secure
Barbara Frenkel

Barbara Frenkel
Member of the Executive Board, Procurement
Dr. Ing. h.c. F. Porsche AG

Barbara Frenkel

Abstract
Coming Soon

Biography
Barbara Frenkel (59) has been a board member since August 2021 Procurement by Porsche AG in Stuttgart. The native Oberfränkin from Hof ​​looks at the sports car manufacturer to a 20-year management career in leadership positions back, which started in quality assurance and about the central training up to the sales tense. Most recently, Frenkel was responsible for the Sales for the Europe region. Before her move to Porsche 2001, the graduate chemist worked for various automotive suppliers in different functions.

11:10
Sustainability of the Semiconductor Industry
  Kate Wilson, President, Semiconductor Division, Edwards Vacuum
Sustainability of the Semiconductor Industry
Kate Wilson

Kate Wilson
President, Semiconductor Division
Edwards Vacuum

Kate Wilson

Abstract
Overview of the environmental impact of the Semiconductor industry and how we need to work together through the supply chain to minimise this. Kate will be looking at two aspects of collaboration; decarbonising the grid and better environmental solutions for the fab.

Biography
Kate Wilson has more than 25 years’ experience in the development and delivery of vacuum and abatement solutions for the global semiconductor industry.Kate joined Edwards in the UK in 1994 on the company’s graduate scheme, moving on to develop her career through a number of product management and business development roles. In 1998, Kate relocated to the US to take up the role of Applications Engineer, working closely with semiconductor OEM customers to understand and develop solutions for their vacuum and abatement requirements.From 2011, Kate played a key role in developing Edwards’ global Applications capability, with a focus on knowledge management and the conversion of customer and market information into product requirements. In the role of Global Applications Manager, Kate relocated to Korea for two years, during which time she gained excellent knowledge of Korean culture and was instrumental in helping Edwards build customer knowledge and relationships across the Asia region.Kate has held the role of VP Marketing Subfab Solutions for Edwards’ global Semiconductor business, based in the UK, since 2017, successfully supporting revenue growth through the delivery of market technology roadmaps, differentiated products, sales support and operations forecasting enabling market share growth.Since 2019, Kate has also served as Diversity Champion for the global vacuum and abatement business, and is a passionate ambassador for diversity and inclusion both within the organisation, and in the wider semiconductor and engineering sectors.Kate will take up the role of President of Edwards’ Semiconductor division in January 2021, based in Burgess Hill, UK.Kate is a dual British and US citizen, and holds a BEng in Mechanical Engineering from Brunel University in the UK.

11:30
Keynote Presentation
  Axel Fischer, VP, Foundry Business EMEA, Samsung Semiconductor Europe
Keynote Presentation
Axel Fischer

Axel Fischer
VP, Foundry Business EMEA
Samsung Semiconductor Europe

Axel Fischer

Abstract
Coming Soon

Biography
Axel Fischer joined Samsung Semiconductor Europe in Year 2002 and is heading as Vice President the Foundry Business Unit in EMEA since 2017. He is leading the European Strategy, Sales, Marketing and Technical Support Organisation. Prior to this he lead the SLSI Business Unit in Europe.Previously he worked at Texas Instruments in Nice within the ASIC and Wireless Terminal BUs in Marketing and Business Development Management roles. He started his professional career at Cypress Semiconductor in 1995. Axel served as a Board Member in the OSPT Alliance.

11:50
Complex, Small, Cheap: How Packaging is Going to Power the Digital Age
  Lee Choon Heung, Chief Technology Officer, JCET Group
Complex, Small, Cheap: How Packaging is Going to Power the Digital Age
Lee Choon Heung

Lee Choon Heung
Chief Technology Officer
JCET Group

Lee Choon Heung

Abstract
The relentless penetration of increasingly efficient smartphones, high-performance computing, autonomous driving, and artificial intelligence has scaled up the complexity of a wide range of mainframes, desktops, handhelds, and wearable devices. At the same time, the persistent quest for performance, mainly in terms of speed, accuracy, and power consumption, has been constantly pushing the limits of semiconductor technology and silicon transistor nodes in an unprecedented way. Requirements for miniaturization and economy of scale have long prevailed with the astonishing result that many billions of transistors can today be packed into a space no larger than a few square centimeters at the cost of a sandwich.While the past decades were excellent in terms of industrial efficiency and economic return for the semiconductor segment, the years ahead look more challenging in these regards. In recent years, the semiconductor industry has been exposed to severe restrictions in sustaining the classic “Moore-driven” approach to the design and manufacturing of large monolithic chips. Limitations in wafer size, reticle size, transistor density, and the rising cost of advanced silicon nodes have driven the industry to rethink the way complex microchips are designed, manufactured, and tested.Research and investments in advanced semiconductor packaging, under the leading efforts of major assembly and test houses, are offering today new instruments for high-performance, small-form-factor, and cost-aware solutions that were unimaginable a few years ago. Heterogeneous integration, for example, allows a more efficient design and manufacturing process to assemble and test sub-parts and sub-systems, from chiplet to chipset, to modules. Smarter and more sustainable supply-chain schemes can be made compliant with a new range of performance indicators, such as interconnect density, scalability, reusability, and silicon node matching, with substantial advantages in terms of cost.This presentation focuses on the recent developments in terms of advanced semiconductor packaging and, in particular, the heterogeneous integration solutions available in the industry for complex, miniaturized, and cost-sensitive systems for smartphones, high-performance computing, automotive, and other applications where intense computing and artificial intelligence are required.

Biography
Dr. Lee Choon Heung joined the company as Chief Technology Officer on May 17, 2019. Prior to joining the company, Dr. Lee served as Chief Technology Officer and Executive Vice President for Worldwide Manufacturing Operations at Amkor Technology, and President of Amkor Korea. Dr. Lee holds a Master's degree in Solid State Physics and a Ph.D. in Theoretical Solid State Physics from Case Western Reserve University. He has written numerous research papers on various packaging technology related subjects and was granted 38 patents in Korea and 21 patents in the US.

12:10
How the Semiconductor Industry Can Leverage Data Expertise From Healthcare
  Laura Matz, Chief Science and Technology Officer, Merck and CEO, Athinia
How the Semiconductor Industry Can Leverage Data Expertise From Healthcare
Laura Matz

Laura Matz
Chief Science and Technology Officer, Merck and CEO
Athinia

Laura Matz

Abstract
The semiconductor industry is experiencing a time of unprecedented disruption. Lately, we are witnessing multiple investments and a growing importance in regions around the world to pass legislation to reinvigorate local semiconductor infrastructures and supply chains. As the Chief Science and Technology Officer of Merck, a company active in the areas of Healthcare, Life Sciences, and Electronics, Dr. Laura Matz will bring a new perspective highlighting the importance of looking at and learning from data-driven innovations in other industries, specifically Healthcare.The immense amounts of data produced today creates opportunities for not just a single company but for the entire value chain to achieve excellence in production, innovation, and cost reduction. Deep-diving on Syntropy´s learnings within Healthcare, Dr. Laura Matz will outline the path for the semiconductor ecosystem to adopt industry-wide data collaboration to speed up innovation. She will also highlight how Athinia™ addresses this challenge, with a strong focus on data security and intellectual property protection.

Biography
Dr. Laura Matz is the Chief Science and Technology Officer for Merck, driving innovation and digitalization in Merck across the 3 business sectors, Life Sciences, Healthcare and Electronics. Serving as an Executive Vice President within Merck, her areas of responsibility include Digital, Enterprise Data, Future Insight, as well as the company’s global innovation hubs in China, Israel, the U.S., and at its Darmstadt HQ. In addition, Laura is CEO of the newly launched data sharing platform company, Athinia™, which is focused on enabling secure data sharing within the semiconductor ecosystem. Laura joined Merck in 2019 through the acquisition of Versum Materials.She possesses 20 years of experience in semiconductor manufacturing, and a decade of experience in running semiconductor materials businesses. Prior to moving to the CSTO role, she served as the Head of Planarization in the semiconductor materials business, while also heading a sector-wide digital program for quality innovation with the company’s electronics customers. Laura started at Versum Materials in 2016, having previously worked at Air Products and Texas Instruments.Laura is a strong advocate for young talent in science and engineering. She has collaborated with ASU over the past 5 years to build a strong pipeline of interns. In 2020, Laura joined the AICHE (American Institute of Chemical Engineers), ILI board (Institute for Learning and Innovation), which serves as a conduit for advancing chemical engineering talent for the U.S. Given that artificial intelligence and machine learning are enablers for the continued growth in the semiconductor industry, she is a member of the SEMI Smart Manufacturing board, as well as the local AZ SEMI board.Laura has a Ph.D. in Analytical Chemistry from Washington State University, and an undergraduate degree from the Indiana University of Pennsylvania.

12:30
The Future of Air Travel Will Be Carbon Neutral and More Autonomous
  Grzegorz Ombach, Head of Disruptive R&T, Senior Vice President, Airbus
The Future of Air Travel Will Be Carbon Neutral and More Autonomous
Grzegorz Ombach

Grzegorz Ombach
Head of Disruptive R&T, Senior Vice President
Airbus

Grzegorz Ombach

Abstract
The future of aviation is more electric and more autonomous. Reducing emissions from the transportation sector on the road, sea, and the air is one of the fastest ways to combat climate change.The aviation industry represents approximately 2.5% of global human-induced CO2 emissions. But aviation is not the problem. Emissions are the problem.Airbus, is committed to leading the decarbonisation of the aerospace sector. Airbus's vision is to reach net-zero carbon emissions by 2050. This includes reducing the CO2 emissions of our aircraft, helicopters, satellites and launch vehicles, as well as our industrial environmental footprint at sites worldwide and throughout our supply chain.Today, a zero-emission flight is closer to reality than ever. At Airbus, we are committed to developing, building and testing alternative-propulsion systems – powered by electric, hydrogen and other technologies to enable the aviation industry to disruptively reduce the CO2 emissions of commercial aircraft, helicopters, and future urban air mobility vehicles. We are working to deliver on our ambition to bring the world’s first zero-emission commercial aircraft to market by 2035.Additionally, the more autonomous flight can deliver increased fuel savings, reduce airlines' operating costs, and support pilots in their strategic decision-making and mission management. The various technology bricks help to build certifiable, safe and secure autonomy systems and programmes to power the next generation of commercial aircraft applications.

Biography
Dr Grzegorz (Greg) Ombach,Head of Disruptive R&T, Senior Vice President at AirbusGrzegorz (Greg) is passionate about managing technological innovation from an idea to broad market adoption. His combination of technology, leadership and commercial expertise together with a truly global outlook, having worked across Europe, the USA and China, puts him in a solid position to drive international market success for high-tech innovations.As a Head of Disruptive R&T, he shapes Airbus's ability to be the global leader in innovation and future technologies across all Airbus divisions. He works very closely with all businesses and divisions globally. Before as Executive Vice President, Head of Battery Systems Business and Group Strategy and Innovation at Dräxlmaier, he was responsible for the strategy for the business and led the entire product commercialisation, from the initial concept to high volume production of cutting-edge technology in a premium market for the automotive sector. One example is the first high volume production of an 800V battery system for the Porsche Taycan. Earlier, he worked at Qualcomm as a Global Vice President and General Manager of a breakthrough automotive technology licensing business.He also has experience from Siemens VDO, Continental and Brose.Grzegorz holds a PhD in Electrical Engineering from the Silesian University of Technology, Poland and a Certificate in Global Management from INSEAD, The Business School for the World. He has also been awarded Guest Professorship at the Zhejiang University in China.

12:50
Keynote Presentation
  Frans van Houten, Former CEO, Royal Philips
Keynote Presentation
Frans van Houten

Frans van Houten
Former CEO
Royal Philips

Frans van Houten

Abstract
Coming Soon

Biography
Coming Soon