Tuesday, November 16, 2021
 

SESSION 1: INNOVATIONS FUELING DIGITAL TRANSFORMATION

10:00 Opening remarks by Laith Altimime, President, SEMI Europe
10:10 Welcome note by Ajit Manocha, President and CEO, SEMI
10:20 Thomas Skordas, Acting Deputy Director-General, European Commission
10:40
The Power of Deeptech: A Tale of Bits, Molecules and Ecosystems
  Luc Van den hove, President & CEO, imec
The Power of Deeptech: A Tale of Bits, Molecules and Ecosystems
Luc Van den hove

Luc Van den hove
President & CEO
imec

Luc Van den hove

Abstract
Today, we are at the dawn of the 5th disruptive innovation wave. This emerging fifth wave, the deeptech wave, builds on the convergence of technologies such as AI, material science, biology, semiconductors … to disrupt virtually every aspect of the physical world we live in. Semiconductors will be the core of many deeptech innovations thanks to their massive integration power, accessible mass production and low cost. To enable these deeptech innovations, Moore’s law will have to continue to address insatiable demands for more computation and more storage. We will realize Moore’s law by a multitude of approaches: continue traditional scaling, new devices, new switches, leverage the use of the third dimension, and paradigm shifts on how to build future systems. The challenges to bring these innovations to the market are huge. Therefore, we will have to approach this evolution through a major ecosystem, bringing together the right companies, the right R&D resources, perform this in leading-edge infrastructure, with the right funding and government support.

Biography
Luc Van den hove is President and CEO of imec since Juli 1, 2009. Before he was executive vice president and chief operating officer. He joined imec in 1984, starting his research career in the field of silicide and interconnect technologies.In 1988, he became manager of imec’s micro-patterning group (lithography, dry etching); in 1996, department director of unit process step R&D; and in 1998, vice president of the silicon process and device technology division. In January 2007, he was appointed as imec's EVP & COO. Luc Van den hove received his PhD in electrical engineering from the KU Leuven, Belgium.He has authored or co-authored more than 200 publications and conference contributions.

11:00 Sebastien Dauvé, CEO, CEA-Leti
11:20 Christoph Kutter, Director, Fraunhofer EMFT
 

SESSION 2: CREATING A SUSTAINABLE, CONNECTED, DIGITAL FUTURE

11:40
Digitize the Future
  Maria Marced, President, TSMC Europe BV
Digitize the Future
Maria Marced

Maria Marced
President
TSMC Europe BV

Maria Marced

Abstract
Maria Marced will explore the impact on the semiconductor industry of a digitized lifestyle which the pandemic has accelerated. The semiconductor industry is absolutely essential and is at a turning point, we have to do an extra effort to enable and drive the megatrends of Ubiquitous Communications and High Performance Computing, with technology and manufacturing accelerating the transformation to a digital society.

Biography
Dr. Maria Marced is President of TSMC Europe, a wholly owned subsidiary of Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC), with responsibility for driving the development, strategy and management of TSMC’s business in Europe, the Middle East and Africa.Before joining TSMC, Dr. Marced was Senior Vice President of Sales and Marketing at NXP/Philips Semiconductors. She also served as General Manager of Philips’ Connected Multimedia Solutions Business Unit. Dr. Marced spent over 19 years at Intel, rising to become Vice President and General Manager of Intel EMEA.Dr. Marced currently serves as Chairwoman of the Global Semiconductor Alliance (GSA) EMEA leadership council, an organization dedicated to the advancement of the worldwide semiconductor industry.Maria Marced holds a Ph.D. degree in Telecommunications Engineering from Universidad Politecnica de Madrid, Spain.

12:00
Accelerating the Secure Intelligent Edge
  Lars Reger, Chief Technology Officer, NXP Semiconductors
Accelerating the Secure Intelligent Edge
Lars Reger

Lars Reger
Chief Technology Officer
NXP Semiconductors

Lars Reger

Abstract
Edge Computing cuts across the IoT, from home and work to the most complex of all— (autonomous) transportation. Coupled with rising digitization that leads to everything connected and advanced sensing capabilities, high-performance edge compute platforms are transforming ecosystems and the development landscape. This includes those rooted and initiated in Europe, but with a global reach and purpose. In this talk, NXP CTO Lars Reger will share insights on smart, secure real-time edge platforms that cross IoT markets to learn preferences, anticipate needs, dynamically respond and ultimately lead to seamless connected experiences everywhere.

Biography
Lars Reger is executive vice president and chief technology officer of NXP Semiconductors. As CTO, Lars is responsible for managing new business activities and R&D in the focus markets of automotive, industry 4.0., internet of things (IoT), mobile, and connectivity & infrastructure.Before joining NXP, Lars gained deep insight into the microelectronics industry with a focus on the automotive sector. He began his career with Siemens Semiconductors as product engineer in 1997. His past roles at Infineon included head of the process and product engineering departments, project manager for mobile system chips, and director of IP management. Prior to joining NXP as head of automotive strategy in 2008, he was responsible for business development and product management within the connectivity business unit at Continental. In December 2018, Lars was appointed CTO and has since then been responsible for the overall technology portfolio of NXP. Since April 2019, he has been a board member of the committee for digital economy, telecommunications and media in the German Industry Association. Lars is also on the board of directors of ITS World Congress and a member of the Forbes Technology Council. Lars earned a degree in physics from Rheinische Friedrich-Wilhelms-Universität in Bonn and an MBA from London Business School.

12:20
Sustainability of the Semiconductor Industry
  Kate Wilson, President, Edwards Semiconductor
Sustainability of the Semiconductor Industry
Kate Wilson

Kate Wilson
President
Edwards Semiconductor

Kate Wilson

Abstract
Overview of the environmental impact of the Semiconductor industry and how we need to work together through the supply chain to minimise this.

Biography
Kate Wilson has more than 25 years’ experience in the development and delivery of vacuum and abatement solutions for the global semiconductor industry.Kate joined Edwards in the UK in 1994 on the company’s graduate scheme, moving on to develop her career through a number of product management and business development roles. In 1998, Kate relocated to the US to take up the role of Applications Engineer, working closely with semiconductor OEM customers to understand and develop solutions for their vacuum and abatement requirements.From 2011, Kate played a key role in developing Edwards’ global Applications capability, with a focus on knowledge management and the conversion of customer and market information into product requirements. In the role of Global Applications Manager, Kate relocated to Korea for two years, during which time she gained excellent knowledge of Korean culture and was instrumental in helping Edwards build customer knowledge and relationships across the Asia region.In 2017, Kate was appointed VP Marketing Subfab Solutions for Edwards’ global Semiconductor business, successfully supporting revenue growth through the delivery of market technology roadmaps, differentiated products, sales support, and operations forecasting to enable market share growth.Kate took up the role of President of Edwards’ Semiconductor division in January 2021, based in Burgess Hill, UK.

12:40
Merck's Sustainability Strategy and our expectation to European Legislation
  Petra Wicklandt, Senior Vice President, Head of Corporate Sustainability, Quality and Trade Compliance, Merck KGaA
Merck's Sustainability Strategy and our expectation to European Legislation
Petra Wicklandt

Petra Wicklandt
Senior Vice President, Head of Corporate Sustainability, Quality and Trade Compliance
Merck KGaA

Petra Wicklandt

Abstract
Merck defines a 'sustainable company' as one that uses its core business practices to drive long-term value creation in environmental, social, governance, and financial terms for itself, the stakeholders, and the society. We are committed to implement sustainability in all our 3 business sectors: Electronics, Healthcare and Life Science. Sustainability provides for each sector opportunities and challenges. The Electronics industry is rapidly changing and growing. Decoupling economic growth and greenhouse gas emissions is just one example of the challenges we need to master.Our ambition is to leverage science and technology to achieve lasting progress for mankind. For us, sustainable entrepreneurship and profitable growth go hand in hand. We can ensure our own future competitiveness only by creating value for society.At the same time, we endeavor to avoid generating subsequent costs for society.​ ​ By creating value for society, all our businesses are committed to tackle the challenges laid out in the 17 global UN Sustainable Development Goals (SDGs). Sustainability is an essential component of our Group strategy.We have defined three overarching goals within our sustainability strategy:- ​In 2030, we will achieve human progress for more than one billion people through sustainable science and technology. - ​By 2030, we will integrate sustainability into all our value chains.- By 2040, we will achieve climate neutrality and reduce our resource consumption. ​ ​In working towards these objectives, we are helping reach the global UN Sustainable Development Goals (SDGs). Through our business and our behavior, we contribute the most to the following five SDGs:- SDG No. 3: Health and Well-being- SDG No. 8: Decent Work and Economic Growth- SDG No. 9: Industry, Innovation and Infrastructure- SDG No. 12: Responsible Consumption and Production- SDG Nr. 17: Partnerships for the GoalsThe European legislation with its new Green Deal will be a critical component to support and enable European companies with their sustainability ambitions and implementation plans. We will describe our expectations to the European Legislation.

Biography
Petra Wicklandt is Head of Corporate Sustainability, Quality and Trade Compliance at Merck KGaA Darmstadt. Her responsibility comprises driving and executing Merck´s Corporate Sustainability Strategy and ensuring Corporate Regulatory Compliance in terms of Quality, Chemical Regulations, Environment, Health and Safety, Animal Welfare, Trade Compliance, Corporate Security and Crisis Management.Before she was Head of Corporate Affairs overseeing Government & Public Affairs focusing on the three business sectors Healthcare, Life Science and Electronics with local and regional teams in Darmstadt, Berlin, Brussels, Boston, Washington D.C., Beijing and Shanghai. Petra’s responsibility also comprised Group Corporate Sustainability including the healthcare specific aspects like Global Health, Access to health and Merck´s Program to fight Schistosomiasis, Bioethics and Digital Ethics.Before Petra was Global Head of Chemical & Pharmaceutical Development in Healthcare R&D. During this time Petra served at the R&D governance bodies and led several cross-functional projects to improve cycle times and the probability of success of preclinical and clinical development.Previously Petra was also the Site Head for Merck´s R&D in the Headquarters in Germany. This responsibility included cross-functional change & infrastructure projects, health & safety as well as quality related projects and site-specific investment planning.Petra has also extensive experience in Healthcare Production. She was formerly Head of Parenteral and Liquids Production and served as acting Head of Pharmaceutical Production in Germany. She was responsible for refurbishment and structural re-organization of this production unit.Petra joined Merck in 1994 after holding a position at DuPont Pharma in the Medical and Scientific Information Department.Petra holds a degree in Pharmacy and received her PhD in Pharmaceutical Technology from the Johannes Gutenberg University, Mainz in Germany. Petra is married with 2 children and resides in the Rhein-Main Area in Germany.

13:00 Lunch break
 

SESSION 3: ENABLING EUROPEAN MANUFACTURING LEADERSHIP

13:40
Holistic AIoT in automotive semiconductor value stream
  Patrick Leinenbach, Senior VP of Automotive Electronics, Robert Bosch GmbH
Holistic AIoT in automotive semiconductor value stream
Patrick Leinenbach

Patrick Leinenbach
Senior VP of Automotive Electronics
Robert Bosch GmbH

Patrick Leinenbach

Abstract
Bosch‘s semiconductor business is growing rapidly. To cope with growing demand a new 12“-fab is built in Dresden, Germany. To operate it efficiently at the high cost location, cutting-edge AIoT solutions have been implemented. Combining single AIoT Use Cases to an „AIoT ecosystem“ along the automotive semiconductor value stream enables Bosch a revolution in ramping a new fab: the data driven release of the Dresden fab.

Biography
coming soon

14:00
Challenges and Opportunities in Semiconductor Packaging
  Oreste Donzella, Executive Vice President, KLA Corporation
Challenges and Opportunities in Semiconductor Packaging
Oreste Donzella

Oreste Donzella
Executive Vice President
KLA Corporation

Oreste Donzella

Abstract
After few decades being driven by a single end-driver, the semiconductor industry is now the driving force behind a multitude of new data-driven applications, which are revolutionizing our lives.The diversification of end-demand across several industries, such as network infrastructure, mobile, data computing, and automotive is driving unprecedented demand of semiconductor devices and continuous advancement in the technology roadmap.For over 50 years, Moore’s Law dictated the pace of this roadmap with the ability of scaling transistor density every 2 years. While lateral scaling is still happening in frontend semiconductor fabrication, it’s also becoming more and more expensive, requiring new ways to optimize performance vs. costs.In the last few years, the role of IC packaging technology has shifted from protection to performance enablement with the rise of advanced flip chip, wafer level packaging and heterogenous integration.We will continue to see a steep increase in new packaging types and, with interconnect geometry scaling and disaggregation into chiplets, each die will become the weakest link in the new multi-die integrated packages, requiring drastic improvements in process control and sorting methodologies.More than 25 years ago, KLA brought a new vision into frontend semiconductor fabrication with in-line monitoring. Few companies initially embraced this concept to accelerate yield improvement, but few years later, it has eventually become an industry standard. This is what is happening in packaging right now. Only with a more rigorous process control methodology, bumping and assembly lines can overcome the unprecedented challenges with technology shrink and multiple die integration.In 2020, KLA introduced the new Electronics, Packaging, and Components (EPC) group to help new industries, such as packaging, to adopt the frontend semiconductor best practices in terms of process control methodologies and process technologies. In the last several months, EPC organization has been working close to the top IDMs, foundries and OSATs to target the most critical challenges and develop a portfolio of products and solutions that will help the packaging industry to advance and become a key enabler of semiconductor technology roadmap.

Biography
Oreste Donzella serves as Executive Vice President of the Electronics, Packaging and Component (EPC) business group at KLA Corporation, which include multiple product divisions, targeting growth opportunities in specialty semiconductors, packaging, printed circuit board and display markets.Previously, Oreste was the Chief Marketing Officer (CMO) of KLA. In this role, he oversaw corporate marketing activities, market analytics and forecast, and company-wide collaborations with the broad electronics industry.Prior to his CMO role, Oreste led the world-wide field applications engineering team, and was responsible for Customer Engagement projects and product portfolio optimization for wafer inspection platforms at KLA.Previously, Oreste was Vice President and General Manager of the Surfscan and SWIFT divisions at KLA-Tencor. In these positions, Oreste was responsible for the unpatterned wafer inspection, wafer geometry, and macro inspection business, overseeing new products development, sales, and marketing activities, customer support, and ultimately, division financial performance (P&L).Oreste brings 28 years of experience in the semiconductor industry. Prior to joining KLA in 1999, he spent more than six years at Texas Instruments and Micron Technology, holding engineering and management positions in the process integration and yield enhancement departments.Oreste currently serves in SEMI North America advisory board.Oreste earned his master’s degree in electrical engineering from the University La Sapienza in Rome, Italy.

14:20
At the crossroad: Strategic considerations for chip manufacturing
  Sabine Herlitschka, CEO and CTO Infineon Technologies Austria AG, Infineon Technologies Austria AG
At the crossroad: Strategic considerations for chip manufacturing
Sabine Herlitschka

Sabine Herlitschka
CEO and CTO Infineon Technologies Austria AG
Infineon Technologies Austria AG

Sabine Herlitschka

Abstract
The global semiconductor shortage and various initiatives by policy makers have highlighted the new importance of semiconductors. With the Chips Act, European policymakers want to create a state-of-the-art chip ecosystem, putting them on par with other economies in semiconductor manufacturing. In the past year, only two new chip factories have been built in Europe. The presentation shows how the global leading chip manufacturer Infineon Technologies is using new smart factories and cross-site production concepts to serve global customers more flexibly, to increase the resilience in the supply chains and to also strengthen technology sovereignty.

Biography
Sabine Herlitschka is Chief Executive Officer and Chief Technology Officer of Infineon Technologies Austria AG.Her professional career includes industrial biotechnology research, international cooperation and financing in technology and innovation, Internships at leading organizations in the USA, Fulbright Scholarship at George Washington University and Johns Hopkins University, as well as founding Vice-Rector for Research Management and International Cooperation at the Medical University of Graz, Austria.Before joining Infineon Technologies Austria, Herlitschka was Director of European and International Programmes in the Austrian Research Promotion Agency. For almost 25 years she has been frequently involved in European Research as advisor, project coordinator and evaluator, as well as participant and Chairperson in strategic European & international expert groups. Amongst others, she has been elected Chair of the Governing Board of the 5 bn Euro European Public Private Partnership ECSEL-Electronic Components and Systems for Electronic Leadership, she is Vice-Chair of the Austrian Council for Research & Technology Cooperation, as well as member of the Senate of the German Fraunhofer Society.Herlitschka holds a Ph.D. in Food- and Biotechnology and a Master of Business Administration.

14:40 Dr. Ann B. Kelleher, Senior VP, Intel
 

SESSION 4: EMPOWERING NEXT GENERATION APPLICATIONS

15:01
Coming soon
  Ronald Dekker, Principal Scientist, Philips
Coming soon
Ronald Dekker

Ronald Dekker
Principal Scientist
Philips

Ronald Dekker

Abstract
Coming soon

Biography
Ronald Dekker received his MSc in Electrical Engineering from the Technical University of Eindhoven and his PhD from the Technical University of Delft. He joined Philips Research in 1988 where he worked on the development of RF technologies for mobile communication. Since 2000 his focus shifted to the integration of complex electronic sensor functionality on the tip of the smallest minimal invasive instruments such as catheters and guide-wires. In 2007 he was appointed part time professor at the Technical University of Delft with a focus on Organ-on-Chip and bioelectronics medicines. Since 2013 he has been the initiator of a number of large European initiatives that all have in common the development of open technology platforms for electronic medical devices. In 2018 he initiated the ECSEL joint undertaking Health.E lighthouse. He published in leading Journals and conferences and holds in excess of 70 patents.

15:20
Samsung Foundry - Adding One More Dimension
  Axel Fischer, VP Foundry Business EMEA, Samsung Semiconductor Europe GmbH
Samsung Foundry - Adding One More Dimension
Axel Fischer

Axel Fischer
VP Foundry Business EMEA
Samsung Semiconductor Europe GmbH

Axel Fischer

Abstract
Vision, trends, latest technologies and solutions for various applications and achieving valuable partnerships.

Biography
Axel Fischer joined Samsung Semiconductor Europe in Year 2002 and is heading as Vice President the Foundry Business Unit in EMEA since 2017. He is leading the European Strategy, Sales, Marketing and Technical Support Organisation. Prior to this he lead the SLSI Business Unit in Europe.Previously he worked at Texas Instruments in Nice within the ASIC and Wireless Terminal BUs in Marketing and Business Development Management roles. He started his professional career at Cypress Semiconductor in 1995. Axel served as a Board Member in the OSPT Alliance.

15:40 Berthold Hellenthal, Head of Computing Platform and Semiconductors, CARIAD