,

,

Body
New technologies like Artificial Intelligence have just begun to change many things of our everyday life. As they permeate more areas of life—whether in private or work environments—their use brings great potential that we want to continue to unlock in order to create value for as many people as possible. It promises to be one of the biggest changes since the invention of the internet. However, this development also leaves its mark, for example in the form of increasing resource and energy demands. To ensure that technological progress and digital living do not develop at the expense of sustainable economic practices, we must always think of both together. Whether it's new materials, improved processes, or entirely different approaches—we will only achieve sustainable growth through innovation. At its core, this means collaboration along the entire value chain. Siloed thinking within company boundaries cannot solve the complex challenges of our time. The entire industry must contribute, from suppliers to end-device producers. Data exchange, transparent dialogue, and collaborative partnerships are key to enabling the next generation of semiconductors and making more sustainable solutions the new standard. What are the most pressing challenges of the semiconductor industry? How can we address them specifically? And what role does Materials IntelligenceTM play? This session provides answers and inspiration—and above all, a call to rethink established practices.

Biography
Miriam Tolksdorf has been leading Sustainability at Merck Electronics since September 2023, pursuing an ambitious roadmap in sustainable material science and green operations across Merck Electronics’ business units. Based on the key belief that advancements in sustainability stem from partnership across value chains, the clear focus is on industry collaboration to foster sustainable innovation, explore new more sustainable solutions and advance industry roadmaps together.Miriam joined Merck in 2009 and has since then held various strategic management and transformation roles across a variety of functional fields, such as Finance, HR, Strategy/Business Development.

MEMS & Imaging Summit
ITF Chip into the Future
European Projects for a Diverse Talent Pipeline
Future of Work: Skills & DEIB
Future Disruptions
European Skills & Diversity
CxO Summit
Advanced Packaging Conference
Fab Management Forum
III-V Summit – Integrated Photonics
EU Digital Forum
End-to-end Cybersecurity
Future of Computing
ELECTRIFICATION & POWER SEMICONDUCTORS
Cultivating a Thriving SiC Market
Smart Manufacturing
Materials Innovation
SEMICON Europa
A To top
A.M. Fitzgerald & Associates, LLC A.M. Fitzgerald & Associates, LLC Fitzgerald, Alissa

Fitzgerald, Alissa
CEO
A.M. Fitzgerald & Associates, LLC

Fitzgerald, Alissa

Body
End uses for MEMS are expanding into new areas beyond consumer electronics. These include ADAS and autonomous piloting systems, microfluidics for genomic analysis, portable ultrasonic devices, metaverse applications, and environmental monitoring.In view of these increasingly demanding MEMS applications for which high precision, robustness and small size are essential, new device architectures are emerging, particularly the use of piezoelectric thin films.Drawing from her company’s product development work, as well as from her view of current trends in MEMS and sensor R&D, Dr. Fitzgerald will provide a perspective on emerging MEMS architectures and materials for near-term business and growth opportunities.

Biography
Alissa M. Fitzgerald, PhD, has 30+ years of experience in MEMS design, fabrication methods, multiphysics simulation, and product development. She has personally developed over a dozen distinct MEMS devices such as piezoresistive cantilevers, pressure sensors, ultrasound transducers, and infrared imaging arrays, and she holds 11 US patents.Dr. Fitzgerald advises clients on the entire cycle of microelectronic product development, from business and IP strategy to supply chain and manufacturing operations. In addition to her primary focus on industrial and commercial activities, she continues to engage in collaborative scientific research, serve on academic conference abstract review committees, participate in peer reviewed academic journal articles, and offer guest lectures at academic institutions such as UC Berkeley and Stanford University.Dr. Fitzgerald is a member of the SEMI-MSIG Standards Committee and served as a board director on the MEMS Industry Group (MIG) Governing Council from 2008-2014. In 2013, she was inducted into the MIG Hall of Fame. She serves as a board director for Rigetti Computing (NASDAQ:RGTI), a full stack quantum computing company. Dr. Fitzgerald received her bachelor's and master's degrees from MIT and her PhD from Stanford University in Aeronautics and Astronautics.

MEMS & Imaging Summit
Adeia Kang, Seung

Kang, Seung
Senior Vice President of Semiconductor Strategy
Adeia

Body
The proliferation of AI into new verticals will drive the co-integration of silicon devices, optical devices, and sensors into a unified platform. One can envision an AI-enabled augmented reality system that is always on, wearable, and personalized. This can serve as a flagship heterogeneous system co-optimized for computing, energy efficiency, and seamless user interface, while also prioritizing small form factor, comfort, and trendy design. From a semiconductor hardware perspective, a key enabler is the co-integration of an energy-efficient AI system built on silicon with an ultra-high-resolution III-V display device and state-of-the-art sensors. Such a system must function in all wearable environments, including bright sunlight and extreme weather conditions. Due to the heterogeneous nature and a tiny form factor requirement, this system necessitates an advanced three-dimensional integration. This presentation will provide an overview of such an emerging platform and its key technological attributes from the perspective of heterogeneous semiconductor integration and co-optimization.

Biography
Dr. Seung Kang serves as Senior Vice President of Semiconductor Strategy at Adeia, where he oversees strategic semiconductor programs encompassing technology, design, and system co-optimization. Prior to joining Adeia, Dr. Kang held a distinguished career at Qualcomm Technologies, Inc., where he spearheaded the Advanced Memory Program, pioneering early R&D and IP validation across the semiconductor ecosystem. His leadership also extended to the development of foundational logic IP for semiconductor nodes ranging from 7 nanometers down to 3 nanometers, supporting Qualcomm's flagship mobile, automotive, AI, and IoT products. Before his tenure at Qualcomm, Dr. Kang made significant contributions at Lucent Technologies Bell Laboratories and Lawrence Berkeley National Laboratory. He earned his B.S. and M.S. degrees from Seoul National University, Korea, and a Ph.D. from the University of California, Berkeley. Dr. Kang is a highly accomplished inventor, holding 250 U.S. patents and over 1000 patents granted globally. His research contributions are widely recognized, with over 100 published papers. He served as a Distinguished Lecturer for the IEEE Electron Device Society from 2014 to 2018 and has been a Specially Appointed Visiting Professor at the Center for Innovative Integrated Electronic Systems, Tohoku University, Japan.

Future Disruptions
Advantest Italy Renzella, Nunzio

Renzella, Nunzio
Technical Pre-Sales Engineer
Advantest Italy

Body
The cleaning of probe cards needles it’s a decisive factor in ensuring the wafers testing is performed with high accuracy and precision. The performance and reliability of semiconductor testing equipment, particularly probe cards, are crucial for maintaining optimal manufacturing yield and product quality. However, as semiconductor technology advances, the demand for higher accuracy and increased testing throughput presents challenges in maintaining probe card cleanliness and performance consistency. Traditional cleaning methods (fixed cycle cleaning method usually set to wafer prober) often lack adaptability and struggle to effectively address evolving contamination sources and patterns.Enter adaptive probe card cleaning, a revolutionary approach leveraging AI/ML techniques and strategies to tackle these challenges head-on. By integrating real-time monitoring, data analytics, proprietary algorithms, and dynamic adjustment of cleaning parameters without the need for human involvement, adaptive cleaning systems optimize probe card performance in semiconductor testing environments. This proactive maintenance approach not only reduces downtime and enhances testing efficiency but also significantly extends the lifespan of probe cards, minimizing the frequency of costly manual cleaning. The adoption of adaptive probe card cleaning technology, and important component for adaptive control systems required for smart factory automation, translates into substantial savings, ensures consistent performance and longevity of probe cards, and provides valuable data-driven insights, giving a competitive edge (it has been proven with customers throughout Asia and Europe that are seeing cleaning cycle times slashed significantly).This presentation delves into the principles and benefits of adaptive probe card cleaning, drawing on real customer implementation results to demonstrate cost savings while maintaining testing efficiency and performance in semiconductor manufacturing.

Biography
Mr. Nunzio Renzella, the Technical Pre-Sales Engineer of Innovative Test Solutions for Advantest Field Service at Advantest Italy, holds a Bachelor in Electronics Engineering from La Sapienza University (Rome - Italy).He was a high school teacher before entering the semiconductor industry where he has worked for 30 years.Nunzio has held various roles encompassing program management, Equipment Engineering, Process Engineering, System/Application Engineering. His experience and technical knowledge in testing have given him the right skills to promote innovation.

SEMICON Europa
Amkor Technology Inc Amkor Technology Inc Kweon, YoungDo

Kweon, YoungDo
Sr Director of Chiplets FCBGA Development
Amkor Technology Inc

Kweon, YoungDo

Body
Chiplet-based packaging has several benefits, such as yield enhancement through logic die partitioning, system form factor reduction, and on-time to market through heterogeneous integration. However, chiplet-based packaging requires an optimized interconnection among semiconductor device dies for high bandwidth, low latency, and low power in the small form factor as advanced chiplet-based packaging. Thus, there are several challenges, such as PDN (Power Distribution Network) optimization, TDP (Thermal Dissipation Path) creation, and thermal stress minimization in the complexed chiplet-based heterogeneous integration. To solve these challenges, it needs to consider power delivery optimization while managing thermal dissipation.Amkor can support an efficient thermal dissipated chiplet-based packaging solution to succeed customers business. As known, semiconductor IC (Intergrated Circuits) power densities are still increasing yearly; moving to high performance colling systems, such as boiling liquid carries away heat generated by computer servers. Based on this kind of advanced cooling method at Data Center, packaging thermal resistance portion of ΘJA is increased. Thus, it is important to make a better thermal performed FCBGA packages with TIM (Thermal Interfacial Materials) of Data Center application, and advanced chiplet-based packaging requires higher thermal dissipation performance continuously. For example, polymer TIMs have a limit to perform a low ΘJC on the thermal dissipation requirement because of a higher interfacial thermal resistance as TIM I. Therefore, advanced chiplet-based heterogeneous integrated FCBGA with Indium alloy TIM is one of solution because there are many positive customers experimental data. This means that Indium alloy TIM as TIM I could provide 2x longer semiconductor device lifetime relatively. In addition, a molded FCBGA can support to create a new thermal dissipation path and to reduce semiconductor device ILD (Interlayer Dielectric) thermal stress for advanced 2.5D and 3D chiplet-based heterogeneous integrated packages.

Biography
Mr. YoungDo Kweon is currently working for Amkor Technology HQ in USA as R&D program manager. He has been working in development of several packages and assembly new platforms since 1988. Recently, he is focusing on higher thermal dissipation solutions of advanced chiplet-based heterogeneous integrated FCBGA packaging.Mr. Kweon received BS degree in Metallurgical Engineering from Hanyang University in 1987, MS in Semiconductor Engineering from Samsung Semiconductor Technology Institute in 1995, and MS with CALCE Electronics Package Research Center in Mechanical Engineering from University of Maryland at College Park in 1998. He has held several patents and published papers.

Advanced Packaging Conference
ams-OSRAM International GmbH ams-OSRAM International GmbH Arzberger, Markus

Arzberger, Markus
Senior Director
ams-OSRAM International GmbH

Arzberger, Markus

Body
It started with step counting. Activity tracker wristbands first brought the concept of daily lifestyle monitoring to public attention: the early adopters rapidly acquired the habit of counting the number of steps they walked each day – and of sharing their count with friends, family and colleagues. The capabilities of the first wearable devices were limited. Thanks to massive improvements in the performance of the components that enable activity measurement, products such as smart watches, smart rings and smart wristbands on the market today can measure far more physical parameters and measure them much more accurately.The adoption of wearable technology has given rise to the idea of the ‘quantified self’. This marks a profound shift in the way people think about personal health. Previously, people could follow a set of guidelines or principles that were associated with good health outcomes. This advice generally revolved around healthy eating, taking regular exercise, sleeping well and so on. People could take occasional health checks at a clinic, but there was no way for a person to measure continuously the effect of their lifestyle on their health.The introduction of wearable devices offered the promise of more information and created a demand from consumers to know more about their health status in real-time: actual measurements that show whether their lifestyle is making them either more or less healthy. This is part of a trend, supported by the increased use of data analytics, artificial intelligence and other advanced technologies in western healthcare systems, called ‘4P healthcare’: participative, predictive, preventive and personalized.The key measurements of health are the vital signs that medical practitioners have long relied on: factors such as heart rate, blood oxygen saturation (SpO2), blood pressure, body temperature, and heart activity as measured electrically by an electrocardiogram (ECG). Thanks to sophisticated optical and electrical semiconductor systems and software from ams OSRAM and others, wearable devices can perform these vital sign measurements well – in some cases, almost as accurately as the specialist equipment in hospitals. We will show the recent developments of the sensors and sensor components enabling this progress.

Biography
Markus Arzberger is Senior Director and Head of the Automotive and Vital Signs Product Line at ams OSRAM. He has more than 20 years of experience in product and business development in the optoelectronic industry. Markus is passionate about understanding customer needs and solving them by generating new solutions that take advantage of leading-edge optoelectronics technologies. He holds a Ph.D. in Physics from the Technical University of Munich, with research focusing on low-dimensional semiconductor physics including laser devices.

MEMS & Imaging Summit
ams-OSRAM International GmbH ams-OSRAM International GmbH Lex, Wolfgang

Lex, Wolfgang
Senior Vice President Mobility & Illumination
ams-OSRAM International GmbH

Lex, Wolfgang

Body
Since 40 years the photonic developments have made huge progress from simple indicator lamps up to now LED in pixelated Headlamps and Stadium illumination. This disruptive change from incandescent lamps to solid state technologies was a result of very constructive collaboration between system providers, Semicon industries and end customers. Meanwhile, penetration of traditional light sources is more or less done. New photonics applications are on the horizon which will continue to change our way of life. Photonics journey just began.

Biography
Wolfgang Lex is Senior Vice President of the Business Line OS Mobility & Illumination at ams OSRAM. He has 40 years of experience in the semiconductor and automotive industry. He started his career at SIEMENS Semiconductor and joined OSRAM in 2002. Wolfgang Lex has held various management functions worldwide with a strong focus on automotive.

Fab Management Forum
Angstrom Excellence ltd Angstrom Excellence ltd Chang, Athena

Chang, Athena
CTO
Angstrom Excellence ltd

Chang, Athena

Body
Metrology of semiconductor process characterization is crucial in both the initial process development/ramp-up phases and in-line inspection drives continuous process improvement. Smaller node sizes in Logic, 3D stacking trend in extreme HAR memory, and new materials adoptions continuously demands ultra-high sensitivity metrology with extreme measurement accuracy and reliability. AI and virtual metrology is integrated to assist with wafer metrology and inspection, defect detection, classification, and yield prediction, ultimately enhancing overall performance.

Biography
Dr. Xuena Zhang holds a B.S. in Physics from USTC, and an M.S. and Ph.D. in Physics from the Max Planck Institute in Germany, and she was a postdoctoral Fellow at Stanford University in US. She has extensive experience in optics, Ebeam, X-ray equipment, and is the inventor of a number of U.S. patents. Dr. Zhang has held various roles at KLA, Applied Materials, and other cutting-edge equipment companies, including scientist, R&D leader, and global product director. Her expertise lies in process/device integration, semiconductor metrology, and inspection in R&D, product launch, mass production, and global product management. Currently, she serves as the chairwoman and CTO of Shenzhen Angstrom Semiconductor Technology Co. Ltd., leading the R&D and marketing efforts for semiconductor metrology tools.

SEMICON Europa
Applied Materials Eaton, Brad

Eaton, Brad
Sr Director Marketing
Applied Materials

Body
In the rapidly evolving semiconductor industry, maximizing equipment productivity is crucial for maintaining competitive advantage and optiming operational effficiecy. This presentation will explore the use of the AIx analytics platform to enhance productivity in in production semiconductor fabs. AIx leverages predictive component failure models to minimize unscheduled downtime, ensuring continuous operation and efficiency. Additionally, AIx employs advanced AI/ML models to monitor hundreds of process chamber parameters across a fleet of tools simultaneously, effectively managing fleet process matching variability.in the near future, AIx is set to introduce self-diagnosing capabilities, which will significantly expedite repairs and maintenance, further enhancing operational efficiency.

Biography
Brad Eaton is the Senior Director of Strategic Marketing at Applied Global Services. In this role, Brad leads a team responsible for driving capabilities for the AIX analytics platform utilized by Applied field engineers to enhance and support Applied Materials service contracts. With more than 24 years of extensive experience in the semiconductor industry, Brad has been instrumental in the development of both equipment and integrated circuits (ICs) for the automotive and consumer electronics sectors and holds more that 90 patents and technical publications.

Smart Manufacturing
ASM ASM Arcamone, Julien

Arcamone, Julien
Vice-President of Corporate R&D
ASM

Arcamone, Julien

Body
As Europe strives to assert its leadership in the global digital economy, the semiconductor industry plays a vital role in driving innovation, sustainability, and growth.Advanced materials and deposition processes are the foundation for electrification & digitalization in a sustainable manner as part of the EU twin green & digital transition.The presentation will discuss how ASM’s technological innovations in Europe and globally are enabling growth through materials innovation, and will also highlight the necessity to collaborate along the electronics value chain as well as through public private partnerships.

Biography
Since 2023, Julien Arcamone is the Vice-President of the Corporate R&D of ASM, the leading semiconductor equipment supplier in ALD and Epitaxy. Based in Leuven at imec, he leads teams in Belgium and Finland that develop ASM’s novel ALD and epi processes that will enable future advanced logic and memory devices.Prior to that, he was 15 years with CEA-Leti, where he started as staff research scientist in NEMS. Then he held several positions, notably VP of Business Development for Asia, his last one with Leti being Head of the Connectivity & Computing Devices Department. In that position, he managed R&D teams dedicated to active RF and quantum devices, advanced CMOS and memories devices, and their related advanced computing approaches (Edge AI, In-Memory Computing), as well as the teams in charge of developing 3D integration technologies.He graduated in 2003 from INSA Lyon in Materials Engineering, with a focus on semiconductor materials & devices. Then, he received a PhD in Electronic Engineering in 2007 from the Autonomous University of Barcelona (Spain), and the HDR (Habilitation à Diriger des Recherches) from Grenoble-Alpes University in 2017. He is an IEEE Senior Member, and was part of IEEE MEMS conference’s TPC in 2016 and 2017. Dr. Arcamone has authored or co-authored more than 80 peer-reviewed scientific publications and 1 book, and is the co-inventor of 9 patents.

ITF Chip into the Future
ASML ASML Reijmer, Aernout

Reijmer, Aernout
Chief Security Officer
ASML

Reijmer, Aernout

Body
Our industry is increasingly confronted with cybercrime and corporate espionage activities, attempting to exfiltrate intellectual property, engineering information, and customer confidential information for commercial gain or to disrupt business operations. These attacks extend beyond corporate boundaries to our supplier and customer ecosystems. The geopolitical relevance of cyber security has grown tremendously in the recent years in our sector, looking at the nefarious interest to obtain intellectual property and knowledge required for manufacturing high tech products.This presentation proposes a collaborative approach to reduce Cyber Risk in the High Tech / Semi and Defense industry.

Biography
•As CISO at ASML, Aernout implemented significant security capabilities for ASML (including a 10 fold increase in investments and even more for organization) in the domain of Information, IT, Human and Physical Security.•Executed for 8 consecutive years the Security Roadmap, running a portfolio of security projects, working away a historic backlog and achieving decent maturity level.•Spearheaded SIA’s and SEMICON CISO workgroup (US), the same for multinationals in NL, with the NL CISO Circle of Trust.•Became CISO of BT Global Services at the early age of 33 through a track record of solid execution and delivery.•While at BT, responsible for global security outside the UK. Brought security maturity to operational excellence level in 30 (mostly newly acquired) entities

End-to-end Cybersecurity
ASML van de Kerkhof, Mark

van de Kerkhof, Mark
Director EUV Research
ASML

Body
In the past years, EUV lithography has been adopted for manufacturing of state-of-the-art Integrated Circuits, with critical dimensions down to 10 nm. With the introduction of a larger NA=0.55, these dimensions will become smaller still. This will further tighten requirements on CD and pattern placement control to ensure yielding devices with dimensions below 10 nm, raising questions on the limits of the shrink that may be achieved in manufacturing reality, in terms of both exposures and chemical and etching processes.At the same time, investigations have started to push these limits even further by increasing the NA even further to NA=0.75 or beyond, or alternatively to lower the wavelength below 13.5 nm.This presentation will discuss the principles of EUV Lithography, its challenges and limitations for NA=0.33 and NA=0.55, and possible options to jump the barriers holding us back from doing lithography at critical dimensions down to 5 nm.

Biography
Mark A. van de Kerkhof is the Director for EUV Projects at ASML Research, based in Veldhoven, The Netherlands.He received an M.Sc. in Applied Physics from Eindhoven University of Technology, and a PhD in EUV-induced Plasma, also at Eindhoven University of Technology. He began his career at ODME, working on the development mastering processes for DVD and Blu-Ray. In 1999 he joined ASML as senior designer and later project manager, working on development of miscellaneous sensors as well as projection optics in both DUV and EUV scanners. He currently is Director for EUV projects at ASML Research, as well as assistant professor for Plasma Physics at Eindhoven University of Technology.He holds over 100 patents and authored or co-authored more than 50 scientific papers. He frequently presents at conferences about both photolithography and plasma physics. He is a Fellow of SPIE.

Future Disruptions
AVL Yazar, Musa Nurullah

Yazar, Musa Nurullah
Research Programs Lead Engineer
AVL

Body
In recent years, advancements in artificial intelligence, particularly in deep learning, have enabled the development of autonomous driving software systems that allow vehicles to perceive and interpret dynamic environments at a human-like level and make their own decisions. In the near future, with the widespread adoption of high-security and high-speed network systems, vehicles are expected to gain the ability to communicate with each other and their surroundings. This will transform vehicles into collaborative systems that provide safer and more economical driving experiences. The AI-based systems used in autonomous driving software are expected to be explainable, transparent, reliable, and compliant with standards.This study presents a five-layer autonomous driving software architecture that shows morphological and functional similarities to the V-model software architecture. The aim is to ensure that this software architecture meets the aforementioned requirements within the automotive industry. Additionally, the role of explainable artificial intelligence (xAI) and deep learning methods, developed in recent years, within the relevant layers of the autonomous driving software architecture and their relationships with other layers are presented in detail.

Biography
Musa Nurullah Yazar began his career at AVL Türkiye as an Autonomous Driving Engineer and is currently serving as the Research Programs Lead Engineer. He earned his PhD in Control and Automation Engineering from Istanbul Technical University in 2018.Musa's professional experience is diverse. He co-founded a startup company called OnitSistem, where they developed a smart research guide software that provides a collaborative workspace for researchers. He also served as an Assistant Professor at the Department of Electronics Engineering at the National Defense University, where his research focused on the dynamical modeling and simulation of robotic systems, particularly unmanned aerial vehicles.In his current role at AVL Türkiye, Musa coordinates autonomous driving research projects and supports R&D project proposals aimed at developing novel methods for ADAS and autonomous driving functions.

EU Digital Forum
B To top
BMW BMW Ancel, Patrice

Ancel, Patrice
In-Vehicle-Technologies Leader
BMW

Ancel, Patrice

Body
The vast majority of innovations in cars relies on software and electronics. Owing to the large number of suppliers and ECUs the software and electronics are distributed over, robust and performant in-vehicle networking (IVN) technologies represent a fundamental part of the infrastructure for modern zonal architectures in vehicle. The requirements on these communication technologies thereby are also continuously increasing while time-to-market & costs are shrinking. What does it change, to the E/E innovation process of IVN technologies? This presentation will show, how BMW’s IVN development department manage the innovation, based on examples with Automotive Ethernet (E/E Backbone) & SerDes (cameras and displays).A brief introduction to innovation and the rational for standardization.E/E zonal architectures and semiconductor supply chain impact on the in-vehicle networking (IVN) technologies. What has changed?How BMW’s IVN department manage time-to-market, costs competitiveness & the best customer experience.A look into the future trends & technologies

Biography
Patrice Ancel is SW Embedded engineer. For the last 21 years, he worked in the automotive industry; most of which at BMW. After successfully introducing Ethernet as a networking technology in the BMW 7 Serie in 2008 between the Head-Unit and the Rear Seat Entertainment, he worked as manager in the BMW-I power electronic development project for the BMW I3 and I8. Since 2020 is leading the In-Vehicle-Technologies for BMW

MEMS & Imaging Summit
BMW Group BMW Group Wittmann, Jürgen

Wittmann, Jürgen
Head of Innovation, Virtual Factory, Virtual Commissioning at BMW Group Production
BMW Group

Wittmann, Jürgen

Body
In a world shaped by globalization, sustainability, and digitalization, industries face the challenge of adapting to rapid changes and escalating consumer demands, leading to shorter development cycles and increasingly complex system landscapes. BMW has responded to these challenges by not only adapting but also redefining the future through the digitization of its vehicle factories as well as the virtualization of its factory planning.BMW's digitization initiative has thoroughly documented all vehicle production facilities using 3D scanning technologies, creating a digital repository with panoramic images and point clouds. This repository, utilized by over 37,000 users, supports diverse use cases such as virtual factory tours, precise measurements, and data exports for external collaboration, enabling seamless global interaction.Further, BMW has developed a platform for virtual and collaborative 3D production planning. This platform, integrating 3D data from various source systems, serves as a centralized visualization tool that constructs detailed virtual factories. It is set to become an indispensable tool for planners and viewers, promoting efficient, real-time collaborative planning and early virtual validation within the context of the entire virtual factory. Thereby it enhances the decision-making processes and minimizes the reliance on physical prototypes.This shift from static digital archives often in 2D to dynamic, collaborative 3D planning environments highlights the transformative role of virtual factories on production planning and operational efficiency. Through these innovations, BMW is setting new benchmarks in manufacturing excellence and sustainability.

Biography
Jürgen Wittmann has held various functions in R&D, production, and finance at the BMW Group. Since 2021, he has been the Head of Innovation, Virtual Factory, Virtual Commissioning, and Digital Twin at BMW Group production. In this role, he oversees the innovation management as well as the development of the virtual factory within the production department.

Fab Management Forum
Bosch Sensortec Finkbeiner, Stefan

Finkbeiner, Stefan
CEO
Bosch Sensortec

Body
Smart and tiny sensors are catalysts for addressing major modern challenges like enhancing environmental health and elevating human well-being.The rapid development of hearables and wearables promise entirely new fields of applications improving the user’s well-being and lifestyle by integrating many different functions in multiple connected devices. MEMS sensors play a crucial role in the realization of such innovative devices. The presentation shows how cutting-edge sensor technology enables innovative devices for advanced use-cases. There will be a special focus on connected devices and the extended usage of algorithms on the sensor which enable even more complex applications. This leads to completely new use-cases such as full-body motion tracking, indoor navigation and air quality tracking.The examples underscore the synergy between MEMS sensors and smart algorithms, unlocking vast potential across diverse fields. The presentation concludes with an outlook on innovative use cases ahead.

Biography
Dr. Stefan Finkbeiner has been CEO and General Manager at Bosch Sensortec GmbH since 2012.In 2015, Dr. Finkbeiner was awarded with the prestigious lifetime achievement award from the MEMS & Sensors Industry Group. In 2016, 2022 and 2023 Dr. Finkbeiner has been elected Manager of the Year by the Markt & Technik Magazine.He joined Robert Bosch GmbH in 1995 and has been working in different positions related to the research, development, manufacturing, and marketing of sensors for more than 20 years. Senior positions at Bosch have included Director of Marketing for sensors, Director of Corporate Research in microsystems technology, and Vice President of Engineering for sensors.Dr. Stefan Finkbeiner received his Diploma in Physics from University of Karlsruhe in 1992. He then studied at the Max-Planck-Institute in Stuttgart and there received his PhD in Physics in 1995. He was born in 1966 in Freudenstadt, Germany.

MEMS & Imaging Summit
C To top
CEA-Leti CEA-Leti Dauvé, Sébastien

Dauvé, Sébastien
CEO
CEA-Leti

Dauvé, Sébastien

Body
Coming Soon

Biography
Sébastien Dauvé was named CEO of CEA-Leti effective on July 1, 2021, after more than twenty years of experience in microelectronics technologies and their applications, including clean mobility, medicine of the future, cybersecurity, and power electronics.Sébastien Dauvé started his career at the French Armament Electronics Center, where he worked on developing synthetic-aperture radar. In 2003, he joined CEA-Leti as an industrial transfer manager and supervised several joint research laboratories, in particular with the multinational Michelin.In 2007, Sébastien Dauvé became a laboratory manager, then head of an R&D department in the area of sensors applied to the Internet of things and electric mobility. During this time, he supported the dissemination of new technologies in industry, including the automotive industry (Renault), aeronautics, national defense (SAFRAN), and microchips with the industry leader Intel. He played an active role in the creation of start-ups in application fields ranging from health to infrastructure security, leading to dozens of new jobs. In 2016, he became Director of the CEA-Leti Systems Division.From sensors to wireless communication, Sébastien Dauvé has played an active role in the digital transformation, focused on coupling energy frugality and performance. He has made cross-disciplinary approaches central to innovation by harnessing the expertise of talented teams with diverse backgrounds. Their goal is to provide technological tools for meeting the major societal challenges of the future.Sébastien Dauvé is a graduate of the French Ecole Polytechnique and the National Higher French Institute of Aeronautics and Space (ISAE-SUPAERO).

ITF Chip into the Future
CEA LETI Berger, Pierre Damien

Berger, Pierre Damien
MEMS Industrial Partnerships Manager
CEA LETI

Body
session chair

Biography
session chair

MEMS & Imaging Summit
Census S.A. Census S.A. Papapanagiotou, Konstantinos

Papapanagiotou, Konstantinos
Advisory Services Director
Census S.A.

Papapanagiotou, Konstantinos

Body
Electronics are more prevalent than ever in our lives. We are becoming more and more dependant on them as they play a signicant role in critical domains such as healthcare, communications, automotive, and even defense. Undoubtedly, the regulatory compliance landscape is becoming more complex and strict, aiming to protect the society from risks related to the use of such electronic devices. Regulations like NIS 2 and the EU Cyber Resilience Act set specific requirements for manufacturing trusted electronics. At the same time attacks occur, which demonstrate that the industry is not well prepared or mature enough. Furthermore, new technologies that are introduced bring about exiting capabilities but also challenges for cybersecurity.In this presentation we will provide an outline of the steps that need to be taken to create trusted electronics. The approach that we will present takes into account lessons learned from other sectors, such as medical devices, to introduce security activities throughout the product development lifecycle. Starting from security reqiurements and threat modeling, and continuing until product validation, testing, and field operation, we will present how you can ensure that a secure product can be built without interruptions or delays in the production timeframe.

Biography
Dr Konstantinos Papapanagiotou is the Advisory Services Director at Census Labs S.A. Prior to that, he worked for OTE S.A. (member of Deutsche Telekom Group) where he was responsible for the cyber security solutions offered to corporate customers. In the past he has led cyber security consulting teams in other private sector organizations.Dr Papapanagiotou has more than 20 years of experience in the field of cyber security both as a corporate consultant and as a researcher. During that time, he participated in numerous cyber security projects in public and private sector organizations, in Greece, Europe, and the Middle East.He holds a PhD and BSc from the Department of Informatics and Telecommunications at the University of Athens, Greece, as well as a MSc in Information Security with distinction from Royal Holloway, University of London. For more than 10 he served as an Adjunct Lecturer at the Hellenic American University, as well as the University of Athens and University of Piraeus, teaching Information Security to postgraduate and undergraduate students.

End-to-end Cybersecurity
Center for Hybrid Nanostructures, University of Hamburg Venugopal, Rakshith

Venugopal, Rakshith
Master's student
Center for Hybrid Nanostructures, University of Hamburg

Body
In the relentless pursuit of advancing semiconductor technologies, the demand for atomic layer processes has given rise to innovative processes. Atomic layer deposition has already played a significant role in the ongoing miniaturization features. In the meantime, atomic layer etching (ALE) is gaining increasing traction which offers better control over material removal at the atomic level. Our research focuses on using Sulfur hexafluoride (SF6) and Ar Plasma to perform the ALE for etching silicon dioxide (SiO2). In our ALE loop process, firstly SF6 is injected to be adsorbed onto the SiO2 surface. Secondly, Ar plasma is generated, following which, F radicals are produced which react with the surface to modify it into purgeable gaseous products. Here we show our ALE process on silicon dioxide wafer using SF6 and Ar Plasma, obtaining a constant etching rate of around 0.14 nm/cycle across independent multiple-cycle runs. Combining the systematic exploration on operating pressure, temperature, plasma power, and SF6 dose, it is confirmed that the SF6 does not etch surfaces directly but forms a self-limiting layer, with etching initiated only by the presence of Ar plasma and F radicals. Additionally, detailed atomic force microscopy characterization over multiple cycles reveals minimal changes in surface roughness, presenting a conformal surface etching. Our research provides a dependable, reproducible, and highly controlled ALE process for SiO2 etch-related nanofabrication process.

Biography
I am Rakshith Venugopal, a Master of Physics student at the University of Hamburg. I currently am working on my master thesis at the Center for Hybrid Nanosctructures (CHyN). After completing my Bachelor of Science in India, I started my journey in pursuing a master's in Hamburg. I gained a passion for nanosciences after starting my masters which pushed me into choosing the topic " Atomic Layer Etching of SiO2 using SF6" as my Master thesis topic.Contributing Authors: Prof. Dr. Robert H Blick, Dr. Robert Zierold, Jun PengCenter for Hybrid Nanostructures, Universität Hamburg

Future of Work: Skills & DEIB
Chip Integration Technology Center (CITC) Smits, Edsger

Smits, Edsger
Program Manager
Chip Integration Technology Center (CITC)

Body
Fan-out wafer level packaging (FO-WLP) is a well-established technology, enabling high-resolution redistribution layers and complex electrical routing. An emerging variation, fan-out panel level packaging (FO-PLP), offers further advantages in processing area and cost. Scaling FO-PLP to larger areas reduces production costs per product, making it appealing for diverse applications. However, FO-WLP and FO-PLP face challenges in discrete power devices. Power devices, like silicon and silicon carbide diodes and MOSFETs, rely on vertical geometries, necessitating high-performance vertical interconnects through the molding compound (TMV). While fan-out technologies show promise—lower RdsON, improved thermal dissipation, and compatibility with thinner dies—commercial adoption lags due to higher package costs.We present CSAP technology: Competitive and Sustainable Advanced Packaging . This technology merges advanced packaging processes with printed electronics. CSAP replaces conventional compression molding with laser-drilled vias by a cost-effective, fully additive printing processes. In our proof-of-concept work, we create packages for silicon MOSFET dies and initial electrical results demonstrate the viability of this innovative approach. In particular, the clip and vertical contacts are realized by printing the vias and the seed layer. An electroplating process is used to grow a thicker layer of Cu to ensure good electrical and thermal conductivity. The seed layer is printed into the desired shape and added only at the locations where metallization is required, thereby reducing the material use in the fabrication process.By quantifying the package costs using a model, we demonstrate that CSAP significantly reduces packaging costs compared to traditional Fan-Out Panel Level Packaging (FO-PLP), making it an attractive technology option for devices that require feature size larger than 60 um.

Biography
Edsger Smits received his Ph.D. from the University of Groningen in the field of organic electronics. He joined TNO Holst Centre working oxide based thin film transistors for displays, flexible and stretchable sensors and electronics for bio-medical applications. He currently leads the Power Packaging activities at CITC. Topics of interests include mini and micro led dispays, laser transfer, flexible and stretchable electronics and chip packaging.

Advanced Packaging Conference
Chips Joint Undertaking (Chips JU) Chips Joint Undertaking (Chips JU) Kinaret, Jari

Kinaret, Jari
Executive Director
Chips Joint Undertaking (Chips JU)

Kinaret, Jari

Body
Coming Soon

Biography
Jari Kinaret was born in Finland and holds M.Sc. degrees in Theoretical Physics and Electrical Engineering from the University of Oulu in 1986 and 1987, respectively, and a Ph.D. in Physics from the Massachusetts Institute of Technology (MIT) in 1992.Prof. Kinaret has worked in various roles at research institutes and universities in Copenhagen, Denmark, and Gothenburg, Sweden. From 2013 to 2023, he served as the Director of the Graphene Flagship, a one-billion-euro research project dedicated to exploring the potential of graphene.In October 2023, Prof. Jari Kinaret assumed the role of Executive Director at Chips Joint Undertaking (Chips JU), a European public-private partnership that supports research, development, innovation, and future manufacturing capacities in the European semiconductor ecosystem.

ITF Chip into the Future
CNR IMM CNR IMM La Via, Francesco

La Via, Francesco
Research Director
CNR IMM

La Via, Francesco

Body
Coming Soon

Biography
Francesco La Via was born in Catania, Italy, in September 1961. He received the M.S. degree in physics from Catania University, Catania, Italy, in 1985. From 1985 to 1990, he had a fellowship at STM, Catania. In 1990, he joined the CNR IMM in Catania as a researcher. During this time, he was a Visiting Scientist at Philips NatLab, Eindhoven, The Netherlands. In 2001 he became senior researcher of the CNR IMM and he is responsible of the research group that work on the new metallization schemes for silicon and silicon carbide. From 2003 he is responsible of the division of CNR-IMM that developed new processes for silicon carbide epitaxy and hetero-epitaxy. From 2020 he become Research Director. He is responsible of several industrial research projects and coordinator of two European projects: CHALLENGE (http://h2020challenge.eu/) and SiC Nano for picoGeo (http://picogeo.eu/). In this period, he has published more than 350 papers on JCR journals and 4 edited books. He has presented several invited contributions to international conferences and has organized several conferences and tutorials. He has 6 patents on SiC technology and growth. The main research interests are in the field of silicon carbide growth, power devices, detectors and MEMS.

ITF Chip into the Future
CNRS-Grenoble INP-Sinano Institute CNRS-Grenoble INP-Sinano Institute Balestra, Francis

Balestra, Francis
Director of Research
CNRS-Grenoble INP-Sinano Institute

Balestra, Francis

Body
This presentation will deal with the ICOS CSA project dedicated to International Cooperation On Semiconductors. International cooperation is key for speeding up technological innovation, reducing cost by avoiding duplicated research, boosting the resilience of the semiconductor value and supply chains, and is one of the objectives of the EU Chips Act. The objectives and first important ICOS results will be highlighted, including the analysis of the semiconductor economic and technological landscapes in Europe and leading semiconductor countries, the identification of areas for potential cooperation and the proposition of opportunities for bilateral or multilateral research collaborations, particularly in the areas of advanced functionalities and computing.

Biography
BALESTRA Francis, CNRS Research Director at CROMA, is Director Emeritus of the European SiNANO Institute and President of IEEE Electron Device Society France, and has been Director of several Research labs. He coordinated many European Projects (ICOS, NEREID, NANOFUNCTION, NANOSIL, etc.) that have represented unprecedented collaborations in Europe in the field of Nanoelectronics. He founded and organized many international Conferences, and has co-authored more than 500 publications. He is member of several European Scientific Councils, of the Advisory Committees of International Journals and of the IRDS (International Roadmap for Devices and Systems) International Roadmap Committee as representative of Europe.

EU Digital Forum
Comet Group Comet Group Haferl, Stephan

Haferl, Stephan
Chief Executive Officer
Comet Group

Haferl, Stephan

Body
Coming Soon

Biography
Born 1972, Swiss and Norwegian citizen; Master's degree in mechanical and process engineering from the Swiss Federal Institute of Technology (ETH), Zurich, PhD with work on thermodynamics and fluid dynamics.Stephan Haferl has been working for the Comet Group successfully in various management positions since 2007. His strong track record includes proven performance in business development, innovation, technology, and product management, among other areas.Before, he held the positions of General Manager at Bartec-Meta Physics SA and Chief Operating Officer at Bartec Bacab SA.

CxO Summit
Comet Yxlon Comet Yxlon Drolz, Isabella

Drolz, Isabella
Vice President Product Marketing
Comet Yxlon

Drolz, Isabella

Body
Coming Soon

Biography
Isabella Drolz is the Vice President Product Marketing at Comet Yxlon, which is the industrial X-ray & CT inspection system division of Comet. Comet Yxlon provides X-ray & CT inspection solutions for R&D labs & production environments, especially for Semiconductor customers to enhance their productivity. In her role, she is responsible for product management, business development, global application solution centers, and marketing at Comet Yxlon. Isabella has next to her industrial engineering education, a Bachelor of Science in International Business Administration, and an MBA degree from Southern Nazarene University in Oklahoma City, USA. She has held several management positions in the mechanical and plant engineering industry driving market-oriented product development.

Advanced Packaging Conference
Future of Work: Skills & DEIB
D To top
DAS Environmental Expert GmbH DAS Environmental Expert GmbH Raithel, Stephan

Raithel, Stephan
COO
DAS Environmental Expert GmbH

Raithel, Stephan

Body
Session Chair

Biography
Stephan Raithel joined DAS in 2016 and since then holds the position of the COO Gas Treatment. In his position he is overseeing all aspects of DAS’ gas treatment products, such as development, engineering, product management, procurement, customer care and production.From 2007 until 2016 he was working for SEMI, the global semiconductor equipment and materials association, where he held various positions within the association – from operations management, SEMI standards and PV Roadmap program to the role of the Managing Director of SEMI Europe.Before his start in the semiconductor industry, he was employed as a project manager in the financial ,creative services and consumer goods industry.

Future Disruptions
DAS Environmental Expert GmbH DAS Environmental Expert GmbH Davies, Guy

Davies, Guy
Chief Business Development Officer
DAS Environmental Expert GmbH

Davies, Guy

Body
The reduction of nitrogen oxides (NOx) emissions from waste gas treatment represents a critical environmental objective driven by regulatory requirements and sustainability goals across many industrial sectors. This contribution discusses the mechanisms of NOx formation, emphasizing thermal and fuel-related pathways during combustion processes, and strategies for NOx reduction. These encompass both primary and secondary measures. Primary measures target the optimization of combustion parameters to minimize the formation of thermal NOx, whereas secondary measures involve advanced technologies such as Selective Catalytic Reduction (SCR) for effective NOx and particulate matter abatement. In response to the need for effective NOx and particulate matter (PM) abatement, DAS EE has developed a novel stand-alone solution, TSUGA. This innovative product incorporates Selective Catalytic Reduction (SCR) with ammonia for NOx reduction and a membrane filter for particulate matter removal. SCR-DeNOx technology has emerged as a leading solution due to its capability to convert nitrogen oxides into harmless nitrogen and water vapor through catalytic reaction with ammonia or urea. This technology not only reduces NOx emissions but also addresses particulate matter, making it a versatile tool in emission control strategies. Combustion control strategies are also discussed in detail, highlighting their role in mitigating thermal NOx formation by adjusting combustion conditions. Ongoing research continues to refine these approaches, aiming for greater efficiency and broader applicability across diverse industrial processes. Ultimately, the implementation of these strategies is crucial for minimizing environmental impact while ensuring compliance with evolving regulatory frameworks worldwide.

Biography
Dr. Guy Davies is Chief Business Development Officer and a member of the Management Board of DAS Environmental Expert GmbH. He joined DAS in 2011 and has since focused on the company's strategies for product development, innovation management and internationalization.

SEMICON Europa
Dassault Systèmes Rei, Manuel

Rei, Manuel
Semiconductor Industry Solution Experience Director
Dassault Systèmes

Body
Semiconductor devices are becoming smaller and more complex in design, playing a crucial role in the advancement of various technologies. These devices are essential in every industry, including defense, healthcare, computing, communication, automotive, and energy. The design and simulation of such devices are not only complex but also time-consuming and costly. As we are moving ahead with “More than Moore”, semiconductor manufacturing is getting highly complex. The materials used during the manufacturing process, such as silicon, requires extensive extraction and purification processes. Traditional way of manufacturing and packaging are unsustainable, as it depletes natural resources and amplifies the industry's environmental impact. With latest trend of 3D integration and chiplets, industries are trying to lower such impact. Foundries are exploring different ways of sustainable and energy-efficient production methodologies. The main sources of emissions from semiconductor foundries arise from the energy needed to operate their extensive production facilities. Factories, which account for about 80% of semiconductor manufacturing emissions, significantly influence their greenhouse gas profiles. These emissions primarily come from tooling, which includes hundreds of production tools like lithographic equipment, ion implanters, and high-temperature furnaces. Moreover, cleanrooms that require precise climate and humidity control, along with gas abatement facilities, waste pumps, water chillers, and water purification systems, also contribute substantially to the emissions1.In this paper, we would like to present our virtual twin approach, which leads us in finding more sustainable solutions for such issues. Virtual twin can help realizing different techniques to reduce the industry's carbon footprint, minimizing waste, and creating processes that align with green technology principles. We provide different virtual twin experiences from equipment, process to clean room, which helps not only in reaching sustainability goal but also helps in training future task force virtually. In this talk, we will present how virtual twin pave the way towards a better ecosystem, which helps to analysis, and evaluate everything in prior and how our virtual twin solutions fill the gap for design and operations agility and resilience.1 (Source: McKinsey & Company)

Biography
Manuel joined Dassault Systèmes in 1986 and has over 35+ years of experience supporting high-tech and automotive global companies, including relocations in South Korea and USA for the deployment of Dassault Systèmes solutions within Samsung Electronics, LG Electronics and IBM hardware divisions. He leads High Performance Semiconductor Industry Solution Experience, leveraging virtual twins to turn semiconductor complexity into business profitability , and deployed at customers such as NXP, Broadcom, Samsung Electronics, Qualcomm and STMicroelectronics.

SEMICON Europa
Delmic B.V. Coenen, Toon

Coenen, Toon
VP Business Development Materials
Delmic B.V.

Body
Power electronic devices have diversified over the last years, where devices based on novel wide-bandgap materials such as GaN and SiC with superior electrical and thermal characteristics have emerged. Further along the horizon other materials with even wider bandgap such as Ga203 , Diamond, and AlN are being considered and studied for power electronics applications. As these new materials become more mainstream a better understanding of their growth/epitaxy, processing and defect structure is needed in order to have reliable performance in power devices. This requires a proper view of material properties at the nanoscale where materials variations and defects manifest themselves. Spatially-resolved cathodoluminescence (CL) imaging, in which the electron-beam-induced radiation is collected inside an electron microscope, is a techniques that holds great potential for nanoscale semiconductor materials analysis. The luminescence that is emitted from these semiconductor materials carry a signature of the electronic properties which can be used for characterization. The electron beam can locally excite the material with < 50 nm resolution and it can easily supply the required energy to excite (ultra) wide-bandgap semiconductors making it an ideal nanoscale probe. Here, we will present the latest developments in Cathodoluminescence for power applications. In particular, we will describe how CL can be used for fundamental research/R&D and for specific front-end manufacturing steps, with a particular emphasis on epitaxy. We will demonstrate some of the latest results on GaN and SiC in terms of defect analysis/quantification such as the imaging and compositional analysis of AlGaN in high-electron mobility transistors. We will connect this to some of the latest developments in CL imaging such as time-resolved CL imaging and demonstrate how this could impact the analysis of power semiconductors. We will describe our latest work on creating stable, reliable, high-resolution CL imaging while reaching time-resolutions of <100 ps, needed for wide bandgap materials analysis given their short excited state lifetimes. Our approach is based on a ultrafast blanking system in a scanning electron microscope which is connected to ultrafast CL detection using single-photon detectors as well as a state-of-the-art streak camera system.

Biography
Toon Coenen studied chemistry and physics at Utrecht University where he obtained an MSc degree in 2010. He continued for a PhD at the NWO Institute AMOLF in Amsterdam, the Netherlands, in Professor Albert Polman’s group. There he co-developed the first version of the SPARC cathodoluminescence (CL) detection system and used it to investigate the nanoscale optical properties of nanophotonic systems. During his PhD he also was a visiting scientist at Stanford University in the group of Professor Mark Brongersma. Then he moved to Delmic, a Dutch tech company specialized in integrated optical/electron microscopy solutions such as Cathodoluminescence microscopy. There he first worked as an application specialist and product manager, and director of Materials Science further developing the CL technology, including developments in time-and angle-resolved CL microscopy. Currently, he is VP Business development for the Materials Science division at Delmic, where he is responsible for the strategic roadmap and business development for semiconductor applications of cathodoluminescence, focusing on the analysis of next generation compound semiconductor devices for photonics, displays, RF, and power electronics applications.LinkedIn: nl.linkedin.com/pub/toon-coenen/27/133/46b/Google scholar account: http://scholar.google.nl/citations?user=N3z1wh8AAAAJResearchGate: https://www.researchgate.net/profile/Toon_Coenen

SEMICON Europa
Dr. Ing. h.c. F. Porsche AG Dr. Ing. h.c. F. Porsche AG Frenkel, Barbara

Frenkel, Barbara
Member of the Executive Board Purchase
Dr. Ing. h.c. F. Porsche AG

Frenkel, Barbara

Body
Coming Soon

Biography
1963 Born in Hof (Saale), Germany1982 A-Level Certificate of education (Abitur) in Hof 1982 Studies in chemistry at Bayreuth University and rubber technology at Hannover University1984 Various posts in development, production, sales and quality assurance, Helsa-Werke, Gefrees1997 Quality Auditor, Valeo Thermal Systems, Rodach1999 Manager Supplier Development Europe, TRW Automotive, Alfdorf2001 Head of Quality Systems and Methods of Dr. Ing. h.c. F. Porsche AG2006 Head of Central Training of Dr. Ing. h.c. F. Porsche AG2013 Head of Sales Network Management & Development of Dr. Ing. h.c. F. Porsche AG 2017 Head of Region Europe of Dr. Ing. h.c. F. Porsche AG2019 Member of the Supervisory Board of Dr. Ing. h.c. F. Porsche AG2021 Member of the Executive Board Purchases of Dr. Ing. h.c. F. Porsche AG

CxO Summit
E To top
Edwards Vacuum Edwards Vacuum Johnson​, Paul

Johnson​, Paul
Chief Architect​
Edwards Vacuum

Johnson​, Paul

Body
Edwards is developing new technology solutions and business models to minimize risk and uncertainty from maintenance events on process-critical vacuum assets. We are already successfully deploying our domain knowledge with on-prem AI solutions, enhancing tool uptime and efficiency, UD prevention and MTBS extension, and identification of wider vacuum infrastructure issues. ​Building on this, we are developing a long-term easily scalable approach to data capture and analysis. This is driven by several innovations including cloud-based technologies addressing data volume, AI scope and accuracy, and a flexible document-based data store, to allow easy extension to additional data sets.​We have also developed a Wi-Fi solution to the first-mile equipment connection problem, allowing for economically viable data collection and analytics will that benefit many fabs. ​Together with portable data analytics tools it will accelerate demonstration of AI solutions to process issues, and subsequent deployment at scale for all fabs. In the presentation we will discuss these current and new approaches and technologies, illustrated with relevant case studies.​

Biography
Name : MR.Paul Johnson​Job Title: Chief Architect​Department: Business Transformation, Semiconductor Service​Education: ​Bachelor of Engineering degree in Electrical & Electronic Engineering, University of Sunderland​Experience:​Paul joined Edwards Vacuum in 2023, he is focused on building new software tools to aid predictive maintenance within the vacuum & abatement space. ​He started his career in Semiconductors in 1993 at Fujitsu Microelectronics, before moving to Applied Materials in 2000, working in a range of increasingly senior roles in Field Service. ​He then joined Netflix in 2010 as Director of Engineering where he oversaw software development including various projects using Artificial Intelligence to predict failures. ​He is now bringing this experience with Artificial Intelligence and big data systems to semiconductor manufacturing. ​

Smart Manufacturing
Entegris GmbH Entegris GmbH Puttock, Mark

Puttock, Mark
Sr. Director
Entegris GmbH

Puttock, Mark

Body
The era of SiC-based power chips has undeniably begun. Factories are producing substrates and chips to meet current demand, but given that these chips aim to improve end-use efficiency, is the manufacturing process of SiC chips itself running efficiently?The answer is, not yet. But that should not be surprizing as high volumes have not been running for long enough for teething problems to be solved. Here we will touch on some of the areas where SiC material properties present the chip makers with challenges. These challenges are at varying levels of resolution and will no doubt be solved in time. This resolution will enable SiC power chips to take its predicted place in the future eco system.Our examples shown are based on Entegris's view which is determined by our particular contact points. So, this may not be an exhaustive list. What we see are challenges relating to:1. CMP (Chemical Mechanical Planarization): SiC is harder than Si.2. Handling: SiC is more brittle than Si.3. Implantation: SiC is more difficult to implant than Si.4. Thermal processing for wafer growing and epi processes: SiC processes are hotter than Si.For each of these areas, we will provide insights and considerations, highlighting the path toward achieving efficient, high-volume SiC power chip manufacturing.

Biography
Mark has worked in the semiconductor industry for over 30 years with a background in Physics and Plasma processing. From 2014, as a team member of the Entegris CTO office, Mark follows technology trends and collaborates with Entegris’ global product development teams to develop timely and differentiated new materials and components for the world’s leading semiconductor manufacturers.

Cultivating a Thriving SiC Market
ESRF Bonino, Valentina

Bonino, Valentina
Beamline scientist
ESRF

Body
Under the impulse of device miniaturization, the size of the active area of devices have been reduced to the micro and sub-micrometric scale. The synthesis of finely tunable structures with defined properties at the nanoscale level becomes therefore fundamental. In this context, some examples of synchrotron characterization will be given to showcase the capabilities of the nanoprobe beamline ID16B of the European synchrotron - ESRF. Examples will mainly focus on the characterization of the composition and the optical properties and their correlation in GeSn and III/V semiconductors materials.In infrared emitters based on Ge1−xSnx micro-disks with Sn concentrations up to 16.9% no metal segregation was observed by annealing at temperatures as high as 400 °C for 20 min, as it was the case for the reference blanket layer. This study demonstrated how microstructuring offer a solution to the instability of high Sn concentration Ge1−xSnx layers [1]. This approach enables the use of thermal annealing processes to improve the properties of this alloy. The origin of the optical emission was investigated in multi-quantum well core/shell nanowires of III-V semiconductors [2]. Two emissions peak at about 2.7 and 3.0 eV were shown to be related respectively to lateral facets and to the interphase with the top facets. Fast recombination rates below 400 ps were observed, revealing possible applications for fast on−off operation in light-emitting diodes (LEDs).These studies highlight the potential of multimodal characterization of semiconducting materials for next-generation nano-optoelectronics.[1] V. Bonino, ACS Appl. Mater. Interfaces 2022, 14, 22270−22277[2] J. Segura-Ruiz , Nano Lett. 2021, 21, 9494−9501

Biography
Valentina Bonino received her Ph.D. from the University of Torino, Italy, on the effect of X-ray radiation on cuprates and oxides. After, she worked as Postdoc in the same project, focusing on the thermal effects induced in the time and the space domains. Currently, she is beamline scientist at the nano-analysis beamline ID16B of the European Synchrotron Radiation Facility. She works in the domain of material science and she is interested in the optical properties of semiconductors having related compositional and/or structural non-homogeneities.

SEMICON Europa
EudaOrg EudaOrg Maguire, Nessa

Maguire, Nessa
CEO
EudaOrg

Maguire, Nessa

Body
Not applicable

Biography
Nessa is the CEO of EudaOrg. Nessa holds a Masters in Applied Positive Psychology and Coaching Psychology, with a strong research interest in building inclusive cultures. A published researcher, Nessa has fifteen years international experience advising private companies and government bodies in supporting diverse needs across education and the private sector. EudaOrg was established in 2021 by psychologists and experts in organisational change Nessa Maguire and Allison Kuschel, to provide technology and advisory services in diversity, equity, and inclusion to commercial companies and sectoral bodies.

European Projects for a Diverse Talent Pipeline
EV Group Dielacher, Bernd

Dielacher, Bernd
Business Development Manager
EV Group

Body
Session Chair

Biography
Dr. Bernd Dielacher is business development manager at EV Group (EVG) where he evaluates global market trends and develops growth opportunities for EVG's bonding, lithography and nanoimprint businesses with a particular focus on the MEMS, biomedical technology and power device market.Bernd holds a master’s degree in Microelectronics from Vienna University of Technology and received a PhD in Biomedical Engineering from ETH Zurich.

MEMS & Imaging Summit
EV Group EV Group Uhrmann, Thomas

Uhrmann, Thomas
Director Business Development
EV Group

Uhrmann, Thomas

Body
MEMS and sensors play a crucial role in many of today´s applications. As their complexity and integration continues to increase, innovative manufacturing technologies become essential to fulfil the requirements of next-generation applications. Wafer bonding is a key technology for MEMS and sensors encapsulation but also for advances in system integration. This presentation will discuss the latest developments in high-vacuum oxide-free wafer bonding, a technology that enables not only conductive bond interfaces but is also well suited for heterogenous material integration at low- or even room-temperature. In addition, recent innovations in high-volume 300 mm MEMS wafer bonding will be highlighted in this talk.

Biography
Dr. Thomas Uhrmann is director of business development at EV Group (EVG) where he is responsible for overseeing all aspects of EVG’s worldwide business development. Specifically, he is focused on 3D integration, MEMS, LEDs and a number of emerging markets. Prior to this role, Uhrmann was business development manager for 3D and Advanced Packaging as well as Compound Semiconductors and Si-based Power Devices at EV Group. He holds an engineering degree in mechatronics from the University of Applied Sciences in Regensburg and a PhD in semiconductor physics from Vienna University of Technology.

MEMS & Imaging Summit
EVG EVG Brandl, Elisabeth
Brandl, Elisabeth

Brandl, Elisabeth
Business Development Manager
EVG

Brandl, Elisabeth

Body
The innovations of chiplet integrations took interesting turns in the last few years as this platform promises high performance application system at low-cost and faster-to market solution in comparison to SoC integration. Several approaches for the modular chiplet implementation have been introduced, where performance requirements, cost considerations and scalability need differ. Although the chiplet integration on Si interposers is a very important technology, a trend towards the utilization of organic materials, for example in high density build up organic substrates can be observed.As in all advanced packaging technologies, form factor is crucial. Temporary bonding offers support for organic interposers during thinning to reduce the formfactor in z-direction and allow for better heat management as these organic interposers have limited heat dissipation capability.Already established chiplet packaging technologies like chip-first or RDL first FoWLP are still facing the manufacturing challenge of high warped wafers originating in the CTE difference of chiplets and mold. This challenge is also valid for high density build up organic substrates and needs to be addressed. There are two major approaches for handling high warpage wafers. Either the wafers are forced flat, which works with thinner, flexible organic wafers or the equipment must comply with the wafer warpage. The later approach is used with thick and stiff wafers and is linked to a higher process complexity. We will show the manufacturing considerations of each warpage handling approach with their advantages and challenges in respect to the temporary bonding, debonding and further downstream processes with process and equipment compatibility.In this presentation, we will also give a short overview on the different chiplet integration platforms with their advantages and challenges. In more detail the integration of organic materials as in RDL/ organic interposers will be shown and the equipment challenges, especially wafer warpage in temporary bonding and debonding equipment and possible solutions will be introduced.

Biography
Elisabeth Brandl is business development manager at EV Group for temporary bonding and metrology. She holds a Master degree (DI) in technical physics from the Johannes Kepler University Linz specialized on nanoscience and - technology.Since 10 years she works at EVG and was, amongst other topics responsible for the UV laser debonding launch. She published several articles and papers in the field of temporary bonding and metrology.

Advanced Packaging Conference
EYE4NIR EYE4NIR Ballabio, Andrea

Ballabio, Andrea
CEO
EYE4NIR

Ballabio, Andrea

Body
Short-wave infrared (SWIR) imaging and sensing sees an increase in commercial interest, given the wide range of use cases that are possible to implement, from automotive, to industrial automation, agri-food and many more. Silicon has an absorption cut-off at 1100nm in wavelength, therefore to detect SWIR other materials are needed. Germanium given its direct band gap of 0,8eV is a suitable material to be used to detect SWIR, however to exploit lower cost and potential scalability, epitaxial Ge-on-Si is considered to be used in devices. Ge-on-Si photodiodes have been firstly reported more than twenty years ago opening the way for the integration of IR photodetectors on Si. A tremendous development has been done, moving from vertically illuminated, stand-alone devices, to waveguide integrated arrays of photodetectors and CMOS integrated imagers. Usually, the Ge epilayer act as the absorbing material for the SWIR radiation, while Si acts only as a substrate. Here we report on a dual-band Ge-on-Si photodetector where light detection can take place both within the Ge epilayer and the underlying Si substrate: the device responsivity can thus be tuned from the VIS to the SWIR spectral range by means of an external bias. This principle of operation has been transferred into a CMOS process in order to fabricate CMOS image sensor, capable of detecting selectively visible and SWIR light. Sensing applications are also where the device was used to discriminate among different chemicals and plastics by exploiting the device spectral response in the two bands and the specific absorption spectra of the materials.

Biography
Andrea Ballabio graduated cum Laude in M. Sc. in Material Sciences (2014) at the University of Milano-Bicocca. He received his Ph.D. in Physics cum Laude (2018) from Politecnico di Milano working on optoelectronic and photonic applications. He as been a post-doc fellow at the Politecnico di Milano working on the growth and morphological characterization of germanium single-photon devices for SWIR applications. In 2021 co-founded EYE4NIR, where he is currently the CEO, with the aim to develop Ge based SWIR image sensors for the automotive and industrial markets.

MEMS & Imaging Summit
F To top
Flexciton Flexciton Potter, Jamie

Potter, Jamie
CEO & Cofounder
Flexciton

Potter, Jamie

Body
Coming Soon

Biography
Coming Soon

Fab Management Forum
Fraunhofer EMFT Fraunhofer EMFT Kutter, Christoph

Kutter, Christoph
Director of Fraunhofer EMFT
Fraunhofer EMFT

Kutter, Christoph

Body
Coming Soon

Biography
Christoph Kutter is director of Fraunhofer EMFT, an institute of the Research Fab Microelectronics Germany (FMD), of which he is currently co-spokesperson. He also holds a professorship specializing in solid-state technologies at the University of the Federal Armed Forces in Munich. His focus at Fraunhofer EMFT is on silicon technologies, MEMS, flexible electronics, biosystem integration and heterogeneous integration of various solid-state technologies.Christoph Kutter is currently Vice President of the VDE (Association for Electrical, Electronic & Information Technologies), a member of acatech (National Academy of Science and Engineering) and the BBAW (Berlin-Brandenburg Academy of Sciences BBAW).From 1995 to 2012, Christoph Kutter held various management positions at Infineon Technologies AG and Siemens AG, including Head of Communications Product Development, Head of Chip Card Development and Head of Central Research. Christoph Kutter was responsible for several central improvement projects to increase efficiency in research and development as well as for the management of the company-wide innovation initiative.From 1990 to 1995, Christoph Kutter worked as a research assistant at the High Magnetic Field Laboratory (Max Planck Institute for Solid State Physics) in Grenoble, France.Christoph Kutter received his Dipl. Phys. from the Technical University of Munich and his Dr. rer. nat. from the University of Constance in 1995.

ITF Chip into the Future
Fraunhofer IPMS Fraunhofer IPMS Fraunhofer IPMS, Marco

Fraunhofer IPMS, Marco
Scientific Employee, Clean Room Sustainability Mangager
Fraunhofer IPMS

Fraunhofer IPMS, Marco

Body
No microelectronics without PFAS! This has been true for 50 years, but emerging regulation, impulses from industry and the existing environmental risks require rapid and effective action from science and the microelectronics industry. What measures are currently being taken in the research field to develop alternatives. We will give a broad overview of various solutions from lithography to passivation and etching, covering almost the entire manufacturing process of microelectronics.

Biography
Marco Kircher has almost a decade of experience in MEMS development at Fraunhofer IPMS and completed a degree in electrical engineering with focus on biomedical engineering at TUD. He specializes in innovative ultrasonic sensors for medical applications and participates to various industry and EU projects, including e.g. the projects Position II and ThrombUS. His work in the production of MEMS has provided deep insights into process development, now applied to make microelectronics manufacturing process more environmentally friendly. Within the »Green ICT @ FMD« project, Marco is leading all developments in resource-optimized microelectronics and MEMS-production, bundling the know-how in the Research Fab Microelectronics Germany.

Future Disruptions
G To top
Gartner Gupta, Gaurav
Gupta, Gaurav

Gupta, Gaurav
VP Analyst
Gartner

Body
Current compute paradigms are constrained in power-performance efficiency to support rising AI and generative AI applications, further straining energy requirements. This presentation will discuss upcoming disruptions have the potential to disrupt the future of compute so product leaders must prioritize innovation and change.

Biography
Dr. Gaurav Gupta is a VP Analyst in the Emerging Trends and Technologies team. Dr. Gupta's research areas include semiconductor manufacturing process, chip design trends, AI analytics in chip manufacturing, semiconductor equipment spending, and chip industry economics and supply chain. Dr. Gupta also covers emerging areas, such as autonomous vehicles, Quantum Computing, and future of energy-efficient compute.Prior to joining Gartner, Dr. Gupta worked as a Knowledge Expert at McKinsey & Co. where he advised clients across the globe in the semiconductor and electronics industry with a focus on business transformation (cost, operations, and growth) and product strategy (launch/development/planning). He has a strong technical background in the semiconductor industry in process, yield, R&D, and integration, having worked at Intel, IBM and GlobalFoundries prior to the stint with McKinsey & Co.

SEMICON Europa
Genpact Moran, Mark

Moran, Mark
Vice President - Aftersales Service Practice
Genpact

Body
The semiconductor industry, a cornerstone of modern technology, faces mounting environmental challenges due to its traditional linear model of production, consumption, and disposal. This presentation explores the transformative potential of circular economy principles within the semiconductor sector, focusing on resource recovery, product life extension, and responsible end-of-life management.Our discussion will encompass three critical areas:1. Strategic implementation of take-back programs and refurbishment initiatives2. Navigating the complex transition from linear to circular business models3. The pivotal role of policy frameworks and industry collaboration in accelerating circularityThrough an examination of real-world use cases, we will highlight how industry leaders are successfully integrating circular principles into their semiconductor operations. These examples will illustrate both the challenges and the tangible benefits of adopting circular practices.The presentation will conclude with an analysis of key obstacles and opportunities for widespread adoption of circular economy principles across the semiconductor industry. We will explore how this paradigm shift could not only mitigate environmental impact but also drive innovation and create new value streams within the sector.By addressing these crucial aspects, this talk aims to provide a comprehensive overview of the circular economy's potential to revolutionize the semiconductor industry, offering insights valuable to industry professionals, policymakers, and researchers alike.

Biography
Mark Moran leads the Aftersales Service Practice which is part of Genpact’s Supply Chain Service Line. Mark and team are focused on helping clients better service their customers post product sale by improving processes, leveraging digital for enhanced parts management, increasing efficiency for on-site & remote support resources, and delivering analytic insights to promote continuous improvement. Prior to Mark joining Genpact eight years ago, he ran service operations leading remote & field support, service supply chain, and repair operations at Dell, Avaya, and Jawbone in addition to a few smaller enterprises both publicly and privately held. Mark has a passion for leveraging digital innovations to improve results and reducing effort for teams.

SEMICON Europa
Guangdong Fenghua Semiconductor Technology Co., Ltd. Guangdong Fenghua Semiconductor Technology Co., Ltd. Tang, Qingyuan

Tang, Qingyuan
Vice General Manager
Guangdong Fenghua Semiconductor Technology Co., Ltd.

Tang, Qingyuan

Body
Gallium nitride (GaN) power devices have been widely used in the fields of fast charging with their high voltage and high frequency performance. However, high-power industrial applications such as motor control and inverters have still not been developed. Fan-out panel level packaging (FOPLP) is an attractive packaging technology which brings many benefits, such as low inductance, thin package height, and ease for multi-die integration. In order to give full play to the advantages of GaN power devices and expand the application of GaN in other aspects, it is important to improve the power density, reduce the parasitic inductance, and reliability of the packages.In this paper, Silicon (Si) chips and GaN field-effect transistors (FETs) were integrated into a quad flat no lead (QFN) package. The GaN FETs and Si chips were interconnected through re-distribution layer (RDL) Cu plating process and formed a half-bridge GaN module. Chip positioning, RDL layout and warpage behavior were optimized by package stress simulation. Thermal performance of different designs was studied by finite element analysis (FEA) tools. One watt heat dissipation was applied on the GaN chip to simulate package heat performance, and balancing of the heat dissipation was optimized by RDL design. In addition, thermal stress was optimized as well based on the Von Mises stress analysis on bumping area. Warpage and package stress on chips were minimized by tuning the package structure. A suitable Cu thickness was identified with consideration of current carrying capability and heat dissipation. The reliability performances including temperature cycling and high temperature accelerated test (HAST), were evaluated based on Joint Electron Device Engineering Council (JEDEC) requirements.By overcoming the technical challenges faced during the GaN FOPLP process, we successfully fabricated a small GaN half-bridge package with dimensions of 6mm×7mm×0.45 mm. By using only three layers of Cu RDL, the required electrical performance of the device was achieved, and the package warpage (46 micrometers) was controlled to minimize the mechanical stress. 30% of printed circuit board (PCB) area reduction was achieved by compared to the discrete package circuit layout.

Biography
Qingyuan Tang earned his Ph.D. from City University of Hong Kong, P.R. China, in 2011 in Electronic Engineering. After graduated, he worked in Sierra Wireless, Nexperia, and Facebook before for different technical positions. He is now working as a Leader in Guangdong Fenghua Semiconductor Technology Co., Ltd. for R&D management.

Advanced Packaging Conference
H To top
Hasso Plattner Institute Hasso Plattner Institute Alder, Nicolas

Alder, Nicolas
Research Assistant
Hasso Plattner Institute

Alder, Nicolas

Body
(Pseudo)random sampling is a costly and widely used method in AI algorithms. We introduce an energy-efficient algorithm for uniform Float16 sampling, utilizing a room-temperature stochastic magnetic tunnel junction device to generate truly random floating-point numbers. By avoiding expensive symbolic computation and mapping physical phenomena directly to the statistical properties of the floating-point format and uniform distribution, our approach achieves a higher level of energy efficiency.

Biography
Nicolas Alder is a PhD student at the Hasso Plattner Institute, specializing in energy-efficient artificial intelligence. With a strong academic foundation in Data Engineering and Computer Science, Nicolas has been involved in cutting-edge research at the intersection of AI, hardware, and sustainability. He serves as a Research Assistant at the AI and Sustainability Chair and is a part of the MIT-HPI Joint Research Program. Nicolas has also gained industry experience through roles at Volkswagen, the Hasso Plattner Foundation, and BearingPoint, focusing on AI and data science.

Future of Computing
Henkel Farbos de Luzan, Pierre

Farbos de Luzan, Pierre
Head of Sustainability, Electronics
Henkel

Body
Henkel Adhesive Technologies aims to be the leader in sustainable adhesive solutions for the electronics industry, fostering climate action, circularity, and safety worldwide.Within our wirebond and advanced packaging solutions portfolio, we innovate to reduce greenhouse gas emissions through sustainable use of raw materials and enhanced adhesive efficiency.Moreover, we prioritize responsible chemistry, avoiding or replacing harmful substances to ensure a toxic-free product portfolio that benefits both people and the planet.At the keynote, Pierre Farbos de Luzan, Head of Sustainability at Henkel Electronics, will discuss Henkel's ongoing efforts, solutions, and challenges in promoting sustainability in semiconductor packaging.

Biography
Pierre is the Head of Sustainability for global Electronics business at Henkel, leading the development and implementation of sustainability strategies with the aim to deliver innovative and sustainable solutions for climate action, circularity and safety.Prior to that, he was driving the development of sustainability services for Accenture across APAC, Middle-East and Latin America regions, delivering complex and high-value projects for international clients across strategy, sustainability, supply chain and operations.Pierre holds a bachelor degree from HEC Montreal (Canada) and master degree from ESSEC Business School (France).

Advanced Packaging Conference
Honeywell Honeywell Donaghy, Mark

Donaghy, Mark
Business Development Manager
Honeywell

Donaghy, Mark

Body
The semiconductor manufacturing process taking place inside the Wafer FAB present’s extreme fire risks and challenges on a FAB facility, there are two main building types - manufacturing facilities (FAB & assembly & test and packaging and offices. The manufacturing building is typically a large-volume, high-ceiling structure with intricate and convoluted architecture that does not lend itself to traditional smoke detection, maintenance access for regulatory checks may also not be easy to achieve. Within these complex buildings, there are several functional rooms with their own individual fire risks.Honeywell Building Automation serve’s the Semiconductor market globally through a variety of life safety solutions including :Fire Systems & Sensors - Protect people and premises with leading integrated and networked solutions including voice alarm and emergency lightingAdvance Detection - Proactive early warning detection solutions to overcome specific challenges and keep mission critical semiconductor FAB’s running Software Solutions - Provide software that supports remote-assisted inspection and maintenance, alarm transmission, site monitoring, digital complianceProjects Support - Work directly with end-users, consultants, and system integrators to support the standardization of fully compliant, EU integrated fire systemsOur comprehensive approach to safety can help support increased productivity, performance, and operational uptime.

Biography
Mark Donaghy is an experienced international business development leader currently based in the UK with 13 years’ experience in the areas of automation & robotics, battery manufacturing and fire & life safety. Mark holds a construction Batchelors in construction management and a further degree in leadership & management from the Royal School of Military Engineering. Mark spent 22 years in the British Army (Royal Engineers) deploying on global operations throughout his career in UK Armed Forces. Mark began his second professional journey outside of the military in 2012 and has been a part of the Global automotive industry working for companies such as Porsche Consultancy, KUKA Systems Battery BU, ABB Robotics & Honeywell. Mark is spearheading the business development in Europe for Battery Gigafactory's & Semiconductor manufacturing verticals, supporting clients with life safety solutions strategies & design (fire & gas advanced detection). Mark is also part of a global Building Automation team that provides expertise & guidance in early warning detection solutions to overcome specific challenges and keep mission critical European sites running.

Smart Manufacturing
https://www.tno.nl https://www.tno.nl Verberk, Rogier

Verberk, Rogier
Director Semicon & Quantum
https://www.tno.nl

Verberk, Rogier

Body
Quantum Inspire was the first system to demonstrate the feasibility of an all-European, freely accessible quantum computer. Since then it has had improvements in the quantum backend, but it will also be connected to the European network of supercomputers. Through collaborations within QuTech (TNO + Technical University of Delft), on national level (QuantumDeltaNL), and on European level (Flagship, Euro-HPC) we will soon be able to provide the general public the functionality to execute hybrid quantum algorithms using local HPC nodes connected to our quantum backends. This demonstrates how quantum computing gets closer to mainstream technologies and applications.

Biography
Coming Soon

Future of Computing
I To top
IBM IBM Kumar, Arvind

Kumar, Arvind
Principal RSM and Research Manager, AI Hardware Technologies
IBM

Kumar, Arvind

Body
The era of generative AI is accompanied by an unprecedented increase in compute, memory, and bandwidth requirements of AI workloads. Chiplet architectures and advanced packaging offer a promising path to meeting these demands through much more tightly integrated compute and memory units, enabling higher compute densities and bandwidths with lower latency and power. Heterogeneous integration coupled with open interface standards can also enable targeted architectures to accelerate specific use cases through co-packaging of diverse chiplets from different sources. I will discuss how IBM’s deep investments in AI and advanced packaging can lead to new opportunities through the emerging chiplet ecosystem.

Biography
Dr. Arvind Kumar is a Principal Researcher at the IBM Research where he leads a team focusing on next generation AI Hardware and heterogeneous integration. He has presented several invited talks and served as a panelist and short-course instructor in this area at major conferences. He holds over 60 patents and is an IBM Master Inventor. Dr. Kumar earned SB, SM, and PhD degrees in Electrical Engineering and Computer Science, all from MIT.

Advanced Packaging Conference
imec imec Marent, Katrien

Marent, Katrien
EVP & Chief Marketing and Communications Officer
imec

Marent, Katrien

Body
Coming Soon

Biography
Katrien has an engineering degree in microelectronics. She joined imec in 1992 as analog design engineer and specialized in design of low-noise readout electronics for high-energy physics. In 1999, she became press responsible and scientific editor at imec's business development division and was responsible for authoring and editing the research organization's numerous company technical documents and publications. In 2001, she was appointed corporate communications director at imec. Her responsibilities expanded in August 2007, when she got the position of external communications director including corporate, marketing and outreach communications. In October 2016, she became VP corporate, marketing and outreach communication. Since April 2020 she is Executive Vice President & Chief Marketing and Communications Officer and member of the executive board of imec.

ITF Chip into the Future
imec imec Hoofman, Romano

Hoofman, Romano
Director imec.IC-link
imec

Hoofman, Romano

Body
Coming Soon

Biography
Romano Hoofman is Strategic Development Director at imec.IC-link since 2016. He is currently responsible for the innovation programs of the unit and for the coordination of the EUROPRACTICE Service.He started his career in industry, where he worked as a Principal Scientist at Philips Research and later on NXP Semiconductors. He covered many different R&D topics, ranging from CMOS integration, advanced packaging, thin film batteries, photovoltaics and (bio)sensors.Romano received his PhD from the Technical University of Delft in 2000, where he investigated charge transport in semi-conducting polymers. He has authored more than 30 publications and holds more than 10 patents in various research areas.

ITF Chip into the Future
imec imec Van den hove, Luc

Van den hove, Luc
President & CEO
imec

Van den hove, Luc

Body
Coming Soon

Biography
Luc Van den hove is President and CEO of imec since July 1, 2009. Before he was executive vice president and chief operating officer. He joined imec in 1984, starting his research career in the field of silicide and interconnect technologies.In 1988, he became manager of imec’s micro-patterning group (lithography, dry etching); in 1996, department director of unit process step R&D; and in 1998, vice president of the silicon process and device technology division. In January 2007, he was appointed as imec's EVP & COO. Luc Van den hove received his PhD in electrical engineering from the KU Leuven, Belgium.In 2023, he was honored with the Robert N. Noyce medal for his leadership in creating a worldwide research ecosystem in nanoelectronics technology with applications ranging from high-performance computing to health.He has authored or co-authored more than 200 publications and conference contributions.

ITF Chip into the Future
CxO Summit
imec imec De Boeck, Jo

De Boeck, Jo
EVP & CSO
imec

De Boeck, Jo

Body
Coming Soon

Biography
Jo De Boeck received his engineering degree in 1986 and his PhD degree in 1991 from the University of Leuven. Since 1991 he is a staff member of imec (Leuven). He has been a NATO Science Fellow at Bellcore (USA, 1991-92) and AST-fellow in the Joint Research Center for Atom Technology (Japan, 1998).In his research career, he has been leading activities on integration of novel materials at device level and new functionalities at systems level. In 2003 he became Vice President at imec for the Microsystems division and in 2005 started Holst Centre (Eindhoven) as General Manager of imec the Netherlands.From 2010 he headed imec’s Smart Systems and Energy Technology Business Unit. He is part-time professor at the Engineering department of the KU Leuven and held a visiting professorship at the TU Delft, Kavli Institute for Nanoscience (2003–2016). In 2011 he became Chief Technology Officer and in 2018 he was appointed Chief Strategy Officer. He is member of imec’s Executive Board.

ITF Chip into the Future
imec Charley, Anne-Laure

Charley, Anne-Laure
R&D manager
imec

Body
New system architecture as well as continuous scaling are important pillars of advanced semiconductor research and development, and both bring new challenges to today’s metrology and inspection techniques. In parallel, improved process control comes with tighter specifications and therefore reduced metrology budget. Conventional metrology approaches cannot alone cope with this ever increasing demand for performance and a new approach has to be considered. This presentation will explore the importance of metrology solutions and what a realistic implementation could be to ensure the success of advanced semiconductor R&D efforts.

Biography
Anne-Laure Charley owns a PhD in physics of semiconductor from INPG (Institut national polytechnique de Grenoble, France) specialized in lithography and optic for semiconductor (graduated in 2006). She started her career as lithography R&D engineer at STMicroelectronics (France) and at Crocus Technology (San Jose, USA). She joined imec in 2008 as researcher in metrology for advanced patterning applications. She became R&D team leader in the field of CD metrology in 2015 and is now managing the inline metrology and inspection group in the advanced patterning department.

Fab Management Forum
imec imec Luo, Cheng-Jhih

Luo, Cheng-Jhih
R&D Engineer
IMEC

Luo, Cheng-Jhih

Body
The high-level objective of HiCONNECTS project is to support industrial challenges by developing heterogenous integration technology solutions for energy-efficient and high-performance cloud and edge computing. HiCONNECTS pilot lines aim at developing advanced photonic integrated circuits, the tasks involve high-speed optical interconnect, co-packaged optics, and heterogenous integration. In this presentation, we discuss the development of the pilot lines and show the challenges/breakthroughs.

Biography
Cheng-Jhih Luo is the R&D engineer of silicon photonics pathfinding at imec. His works focus on photonic component and micro-optical system design especially aim to advanced co-packaged optics for silicon photonics. He received Ph.D from National Chiao-Tung University Taiwan in 2019 and previously Cheng-Jhih worked as deputy project manager at ITRI for host several technical projects regarding photonic systems.

EU Digital Forum
imec imec Massar, Shana

Massar, Shana
R&D Engineer
Imec

Massar, Shana

Body
Coming Soon

Biography
Coming Soon

Future of Work: Skills & DEIB
imec imec Collaert, Nadine

Collaert, Nadine
Fellow and Program Director of the Advanced RF Program
imec

Collaert, Nadine

Body
The relentless pursuit of advanced connectivity solutions has positioned III-V materials at the forefront of wireless, wireline, and photonic technologies in general. Characterized by their exceptional electronic and optical properties, III-V compounds such as GaAs, InP, and GaN are pivotal in the evolution of next-generation communication systems. In wireless applications, III-V semiconductors enable superior performance in high-frequency and high-power scenarios essential for 5G and beyond. These materials underpin the development of efficient power amplifiers, low-noise amplifiers, and high-speed transistors, which are crucial for enhancing signal transmission and reception. For wireline communication, particularly in fiber optics, III-V materials offer unmatched capabilities. InP-based photonic integrated circuits (PICs) facilitate high-speed data transmission and low-loss signal processing, addressing the ever-growing demand for bandwidth in data centers and long-haul networks. In recent years, the silicon photonics industry has experienced significant growth, enabling the integration of various optical devices on large-scale Si wafers with mature CMOS process technology. The integration of III-V materials and devices, including lasers and amplifiers, is crucial to complement these silicon photonics platforms. Therefore, heterogeneous integration techniques, such as flip-chip bonding, micro-transfer printing, wafer reconstruction and selective area growth, play an essential role in designing future photonic and electronic integrated circuits. These methods meet high device density and production cost requirements while leveraging the advantages of III-V technologies. This presentation provides an overview of how III-V materials are revolutionizing connectivity across various domains. These materials are central to overcoming the limitations of traditional technologies and offer promising solutions for the future of the global communication infrastructure. We will focus particularly on efforts to integrate III-V materials with silicon-based technologies.

Biography
Dr. Nadine Collaert is a program director at imec. She's currently responsible for the advanced RF program looking at the heterogeneous integration of III-V/III-N devices with advanced CMOS to tackle the challenges of next-generation mobile communication. Previously, she was a program director of the logic beyond Si program, focused on researching novel CMOS devices and new-material-enabled devices and system approaches to increase functionality. She has been involved in the theory, design, and technology of FinFET devices, emerging memories, transducers for biomedical applications, and the integration and characterization of biocompatible materials. She has a Ph.D. in electrical engineering from the KU Leuven, (co-) authored more than 400 publications, and holds more than ten patents in device design and process technology.

III-V Summit – Integrated Photonics
INFICON INFICON Behnke, John

Behnke, John
General manager for Smart manufacturing
INFICON

Behnke, John

Body
tbd tbd tbdJoint Presentation INFICON and ST

Biography
Mr. Behnke has 40 years of semiconductor industry experience including: logic and memory manufacturing, technology/product development and fab operational excellence. As the GM of Final Phase Systems an INFICON Product Line, John leads a team that develop and deploy SMART software solutions that enable fabs to improve their manufacturing efficiency. FPS’s suite of software solutions are built upon a common Datawarehouse which enables advanced Fab Scheduling and optimized WIP movement as well as other related capabilities. He is also a Co-Chair of the Semi North America Smart Manufacturing Special Interest Group. Prior to FPS John served as the CEO and President of Novati Technologies, the SVP and GM of the Semiconductor Group of Intermolecular, the CVP for Front End Manufacturing, Process R&D and Technology Transfers at Spansion and the Director of AMD’s Fab 25’s Engineering and Operations groups where he was a founding member of AMD’s Automated Precision Manufacturing (APM) initiative which led the Semiconductor industry’s development and use of APC and other advanced factory systems. He also led the successful conversion of Fab 25 from Logic to Flash memory which was enabled through the virtual automation of the fab.Mr. Behnke earned a B.S. degree in Mechanical Engineering with an Industrial Engineering Minor from Marquette University. Mr. Behnke holds five U.S. patents.

Fab Management Forum
Smart Manufacturing
INFICON HOLDING AG INFICON HOLDING AG Wyrsch, Oliver

Wyrsch, Oliver
President and Chief Executive Officer
INFICON HOLDING AG

Wyrsch, Oliver

Body
Coming Soon

Biography
Oliver Wyrsch became President and Chief Executive Officer of INFICON HOLDING AG on January 1, 2023.He joined INFICON in 2018 as President and General Manager of the US business.Mr. Wyrsch is a Swiss citizen and holds a Master's degree in Computer Science and Business Administration from the Swiss Federal Institute of Technology in Zurich (ETH). He began his career in 2004 as a management consultant at Accenture and Booz & Co before becoming Head of Engineering at a pharmaceutical-focused software startup. He then spent seven years at Mettler Toledo in Germany and the US in various roles - most recently as Head of the Machine Vision Inspection Strategic Business Unit.

CxO Summit
Infineon Technologies Infineon Technologies Boll, Michael

Boll, Michael
Vice President Public Policy
Infineon Technologies

Boll, Michael

Body
Coming Soon

Biography
Michael Boll is a seasoned professional with a strong background in law, economics, and public policy. Born near Münster, Germany, he pursued higher education in Economics and Law at the University of Münster, earning his First State Examination in 2000 and his Second State Examination in Law in 2003.Michael furthered his academic credentials by obtaining a Master's degree in International and European Business Law (LL.M.) from the University of Exeter in 2003-2004.Michael's professional journey began in the consulting sector, where he held various leadership positions at EUTOP and Gauly Advisors. His client base was mainly from the Tech and Financial sector. He served as Director at EUTOP from 2005 to 2014, followed by a stint as Senior Manager and Partner at Gauly Advisors from 2014 to 2017. He later rejoined EUTOP, taking on roles such as Director & Syndic of EUTOP International GmbH, Member of the EUTOP Asia Executive Board, and Member of the EUTOP Executive Board in Berlin from 2017 to 2020.In 2021, Michael brought his expertise to Infineon, a leading semiconductor company, as Vice President of Public Policy. In this role, he leverages his knowledge of law, economics, and public policy to drive strategic decision-making and advocacy efforts. Throughout his career, Michael has demonstrated a unique blend of academic rigor, professional expertise, and leadership acumen. His diverse experience in consulting, academia, and the corporate world has equipped him to navigate complex policy landscapes and drive meaningful impact.

ITF Chip into the Future
Infineon Technologies AG Infineon Technologies AG Pressel, Klaus

Pressel, Klaus
Assembly and Packaging
Infineon Technologies AG

Pressel, Klaus

Body
Coming Soon

Biography
Dr. Klaus Pressel studied Physics at the University of Würzburg and with a scholarship of the German DAAD at S.U.N.Y. Albany (New York, U.S.A.). Klaus received his PhD in Physics from the University of Stuttgart for research on point defects in III/V semiconductors. He then joined IHP Frankfurt (Oder), where he focused on Si CMOS and SiGe design and technology. In 2001 Klaus joined Infineon Technologies at Regensburg, where he is focusing on innovations in assembly and packaging technology. His special interests are System-in-Package solutions, high frequency applications, chip-package-board/system co-design, as well as understanding reliability and quality. Klaus has been project leader of many European funded projects, e.g. the recent ECSEL JU iRel40 project. Klaus is representing Infineon in various international technical committees, e.g. SEMI Advanced Packaging Conference, ESTC, the Eureka XECS program, IEEE Heterogeneous Integration Roadmap. Klaus is author/co-author of more than 200 publications in semiconductor physics and technology, circuit design, assembly and interconnect technology and owns/co-owns more than 20 patents.

Advanced Packaging Conference
Infineon Technologies Dresden GmbH & Co. KG Infineon Technologies Dresden GmbH & Co. KG Lindner, Franziska

Lindner, Franziska
Quality Manager
Infineon Technologies Dresden GmbH & Co. KG

Lindner, Franziska

Body
The growing scarcity of natural resources is one of today’s greatest global challenges. Optimizing the efficiency in the use of resources offers both ecological and economic benefits and is a key component in Infineon’s sustainability strategy worldwide. Hence, they are high priorities in the planning and construction of the Smart Power Fab in Dresden. This includes being the first Infineon fab to strive for “Leadership in Energy and Environmental Design” (LEED) – a sustainability certification used worldwide defining standards for environmentally friendly, resource-conserving and sustainable construction. LEED provides a framework for healthy, highly efficient, and cost-saving green buildings. With a LEED certification we demonstrate our commitment to both client satisfaction and promoting a more sustainable future by reducing our reliance on limited resources such as energy and water. The Smart Power Fab of Infineon will make a decisive contribution to driving climate protection and digitalization forward by setting new efficiency standards for the consumption of important resources. The investment in Dresden is part of the company’s strategy to reach CO2-neutrality by 2030.The Smart Power Fab is funded by the European Union, the Federal Republic of Germany and the Free State of Saxony. Sponsors: European Union, Federal Ministry for Economic Affairs and Climate Action on the basis of a resolution of the German Bundestag and the Saxon State Ministry for Economic Affairs, Labour and Transport.

Biography
Franziska Lindner is a Quality Manager at Infineon Dresden and coordinating sustainability measures in the design and construction of the new 300mm Smart Power Fab to produce analog/mixed-signal technologies and power semiconductors needed in automotive and renewable energy industries.After completing her Master of Science in biology 2014, Franziska Lindner started as scientific associate supporting international process transfers and cleanroom capacity expansion. As head of manufacturing and quality officer, she built up pharmaceutical clean room production processes from quality as well as production perspectives. Franziska Lindner was born in Dresden, Germany, in 1989.

Fab Management Forum
Intel Chau, Robert

Chau, Robert
Intel Senior Fellow
Intel

Body
Coming Soon

Biography
Coming Soon

Materials Innovation
Invest in Pomerania Invest in Pomerania Trunin, Mikołaj

Trunin, Mikołaj
Director
Invest in Pomerania

Trunin, Mikołaj

Body
The presentation provides a comprehensive exploration of the key factors influencing the decision to locate new semiconductor investments in CEE and Pomerania, taking into account geopolitical, logistical, environmental, and supply chain dynamics.The CEE countries have stable governments, growing economies, and a strong presence in NATO and the EU, making them attractive for investment. Moreover, the alignment with EU and NATO policies reduces risks associated with instability, making them ideal for long-term investments, especially in manufacturing and tech sectors.Russia’s aggression in Ukraine has raised concerns about the security of supply routes and energy dependence. The CEE countries, Poland in particular, have taken steps to reduce dependence on Russian energy, strengthening energy security and reducing risks for manufacturing.The importance of coastal access and central positioning is not to be overlooked. Proximity to the sea and ports and international routes reduces the cost and complexity of importing raw materials and exporting finished products, while access to maritime, railway and express routes is crucial for global supply chain integration in the semiconductor industry.With the EU moving toward stricter regulations on per- and polyfluoroalkyl substances (PFAS) due to their environmental and health risks. Investing in the CEE and Pomerania allows companies to build manufacturing processes that are compliant with future regulations, making the industry more sustainable and future-proof. The availability of green energy (wind farms in the Baltic Sea, for example) further supports sustainable semiconductor production.

Biography
Deputy Director at Invest in Pomerania, a local investment promotion agency responsible for attracting foreign direct investments to the Pomeranian Voivodeship (northern Poland). During over 10 years in the organization, he directly supported investment projects of such companies as Intel, Northvolt, Flex, Alteams, ThyssenKrupp, Siemens Gamesa, Archer, Lacroix Electronics. Thanks to his experience and extensive business knowledge, Mikołaj is able to efficiently support every investment process. As highlighted by investors, it is often the activities of the Invest in Pomerania initiative that have contributed to choosing Pomerania as an investment destination. According to the World Bank analysis, the impact of Invest in Pomerania's activities from 2011 to 2020 on the growth of jobs related to foreign direct investment was 230%.

Future Disruptions
Future of Work: Skills & DEIB
IoT Security Foundation IoT Security Foundation Moor, John

Moor, John
Managing Director
IoT Security Foundation

Moor, John

Body
This talk addresses the critical challenges of managing product cybersecurity throughout the lifecycle, and across applications. Aimed at designers, developers, and manufacturers with a blend of technical and management skills, the presentation will elucidate the multifaceted nature of IoT security challenges. It will emphasize the need for fit-for-purpose security that aligns with application requirements, regulatory compliance, and lifecycle management. The conclusion underscores the importance of a collaborative and evolving security methodology to address the dynamic challenges of IoT security, inviting all stakeholders to participate.

Biography
John Moor is co-founder and Managing Director of the IoT Security Foundation (IoTSF).He has over 30 years of experience in electronic systems and microelectronics industries and holds executive leadership and general manager responsibilities for IoTSF. Previously John served as a vice-president at the UK's National Microelectronics Institute (NMI) where he was tasked with formulating strategy and leading key innovation initiatives. Before NMI, John was one of the founders of Bristol-based start-up ClearSpeed Technology (formerly PixelFusion Ltd). During this time he led engineering operations at the vice-president level. He was responsible for technology acquisitions, establishing international supply chain operations and acquiring capability in the UK, USA and Taiwan.John holds an MA (Distinction) in Strategic Marketing Management from Kingston University London and a Master of Business Administration from the University of Leicester. John’s formative embedded systems engineering career centred on leading-edge microprocessor-based systems (substantially parallel systems) and used in data communications, high-performance computing, graphics and virtual reality applications.

End-to-end Cybersecurity
iThera Medical GmbH Leisching, Patrick

Leisching, Patrick
Chief Technology Officer
iThera Medical GmbH

Body
We introduce photoacoustic imaging as a new modality to enhance ultrasound images by molecular information. The key for imaging simulaneously the two modalities ultrasound and photoacoustic are new xMUT technologies, as piezo based systems are not easily combined with preamplifiers. The use of piezo based technologies in photoacoustic imaging will be reviewed, the way forward to integrate PMUT and CMUT transducers with preamplifiers will be discussed. The key of any further integration is the combination of xMUTs and integrated electronics combining preamplifer, averaging and code gain electronic technology.

Biography
In June 2022 Patrick started working as CTO for iThera Medical in Munich and is listening to molecules to open a new era of in-vivo medical imaging. Beforehand he was engaged for 12 years as SVP R&D for TOPTICA Photonics in Munich, scaling the diode-laser based company revenue from 14M€ to 105M€. His industry career started 1998 at Siemens in Munich, where had various functions from research to project management and head of optical systems R&D department. Later at Nokia Siemens Networks he was engaged as head of portfolio management and finally head of product management for the operating systems software of optical and packet transmission systems. He holds academic degrees from Technical University of Munich (Dipl.-Phys., laser physics and semiconductor physics) and RWTH Aachen (Dr. rer. nat., III-V quantum well semiconductors), the post-doc as Feodor Lynen fellow was performed at Ecole Polytechnique in Paris (II-VI magneto-optic semiconductors).

MEMS & Imaging Summit
J To top
Jacobs Kreidel, Tim

Kreidel, Tim
Director
Jacobs

Body
Topics covered include: • The need for increased design and construction velocity leads Owners to lean more heavily on repeatable/reference designs. While there are undoubted advantages to utilization of reference designs, project teams must actively track and adjust for required differences and deviations to the established reference design to accommodate local code/jurisdictional and/or climactic differences.• Market pressures, including competition for labor and material resources point towards off-site-manufacturing (OSM) as a critical lever for projects in the current environment. OSM changes the dynamic of design and construction projects, driving the need for new skillsets within design and construction teams and altering the timing of critical configuration decisions.• The use of software platforms can provide invaluable assistance to project teams, in promoting shared real-time visibility to critical datasets. Jacobs has developed one such sophisticated and adaptable project execution framework to ensure broad yet tailored access for project stakeholders real-time project data.• Recent enhancements in 3D/BIM visualization tools allows broader use of rapid-prototyping approaches to more efficiently explore and select design options.• Semiconductor manufacturers are striving towards creating digital twins of their facilities, with the goal of creating virtual replicas of physical assets, processes and systems. Currently, the more robust datasets made available with the digital twin construct is being leveraged to increase project velocity, allowing optimization within, for example, procurement, planning and construction sequencing realms. The future for Digital twins promises further exciting possibilities, including true integration with operation and maintenance platforms and opportunities for predictive analytics to enhance facility equipment operation and energy management.

Biography
Coming Soon

SEMICON Europa
K To top
Kanken Techno Co., Ltd. Kanken Techno Co., Ltd. Morihara, Atsushi

Morihara, Atsushi
CTO of Kanken Techno
Kanken Techno Co., Ltd.

Morihara, Atsushi

Body
Various efforts are being made across industries to achieve carbon neutrality by 2050. In this context, at semiconductor manufacturing facilities, the abatement of greenhouse gases (GHGs) such as fluorinated gases emitted from manufacturing equipment represents a significant challenge. Additionally, achieving carbon neutrality across the entire supply chain for Scope 1, 2, and 3 is necessary. From Kanken Techno, a specialist manufacturer of abatement systems in Japan, we will present guidelines and recommendations and introduce the technology that addresses these directions.

Biography
Atsushi MoriharaChief Technology OfficerHeadquartersKanken Techno Co., Ltd.Professor, Ph.DLaboratory for Zero-Carbon EnergyTokyo Institute of TechnologyEducation: Professor Tokyo Institute of Technology 2012-Visiting Researcher Massachusetts Institute of Technology 1994-1996Doctor Degree Tohoku University 1991-1994Graduate TOKYO University 1977-1981Experience:CTO KANKEN Techno 2017-CTO Global Environment Group Mitsubishi Corp 2008-2017General Manager Power generation Group HITACHI Ltd. 1981-2007

Smart Manufacturing
KLA Corporation KLA Corporation Springer, David

Springer, David
Product Manager, MVD and Release Etch Products
KLA Corporation

Springer, David

Body
Antistiction coatings are widely used in MEMS applications to improve device performance and enhance overall device lifetime. The most widely used chemicals are fluoropolymers like FDTS and FOTS, which are members of the large group of PFAS substances. MEMS integrators and manufacturers are increasingly seeking alternative antistiction coatings that do not use PFAS chemicals. The obvious solutions include hydrocarbon analogs of FDTS and FOTS, but these don’t have the required thermal or mechanical stability. This talk will discuss the various alternatives to FDTS, explore their strengths and weaknesses, and introduce a new proprietary fluorine free antistiction coating.

Biography
David Springer is a Product Manager at KLA in charge of MEMS applications of MVD coatings, and XeF2 release etch products. He joined SPTS in June of 2013 when SPTS acquired Xactix Inc. where he was President for 11 years. Previous to XACTIX, David was president of a design automation startup company and received his PhD. in Computer Engineering from Carnegie Mellon University.

MEMS & Imaging Summit
Koh Young Europe GmbH Koh Young Europe GmbH Lindloff, Axel

Lindloff, Axel
Senior Process Specialist Pre-Sales
Koh Young Europe GmbH

Lindloff, Axel

Body
Within the semiconductor packaging landscape, printing remains the preferred material transfer method due to its historical reliability and cost-effectiveness spanning millennia. In electronic industries, stencil printing is particularly favored for its prolonged usability of metal sheets in mass-production settings. The quality of stencil printing is intricately linked to various critical factors affecting material transfer efficiency, a pivotal consideration as print quality serves as the linchpin for subsequent processes. Rigorous control of print quality is instrumental in minimizing costs and defects. The standard for monitoring and controlling material transfer efficiency in automotive electronic production is 3D phase-shifting shadow Moiré metrology. Leveraging a comprehensive 3D reconstruction of the measurement object and its surroundings, this methodology allows for an exhaustive analysis of the surface condition of a sinter pad. Precise measurement and analysis of critical factors, including peaks, holes, height, and chip placement area slope, become possible. Given the sinter material's limitations, holes act as thermal isolators, creating operational hotspots, and peaks pose a risk for stress-induced cracks. Consequently, controlling surface conditions is imperative for mitigating premature failures. Effectively controlling surface conditions requires specialized algorithms. In the stencil print process, where edges of sintering paste pads may deviate from specifications, the analysis area is strategically confined to the chip placement region. High repeatability and accuracy in measuring peaks and holes within this area enable the generation of data that can be employed for direct response to the print process or for process modeling. This includes the analysis of critical hole and peak sizes to preempt premature failures. Keywords: sintering, printing, 3D measurement, phase-shifting shadow Moiré

Biography
Axel Lindloff studied general electrical engineering at the Bielefeld University of Applied Sciences and has been active in the SMT world since 1999. He initially gained 3 years of experience in sales of stencils and consumables before moving to the application department of a well-known printing machine manufacturer in 2003. Here, he worked until 2012 with the optimization of existing processes, audits and the development of new printing applications. Since September 2012, Mr. Lindloff has been working for Koh Young Europe GmbH as an application engineer. Here, he mainly deals with questions relating to solder paste printing and process optimization with the 3D data obtained.

Advanced Packaging Conference
Kontron AIS GmbH Kontron AIS GmbH Schulze, Natalie
Schulze, Natalie

Schulze, Natalie
Product Manager Equipment Control and Integration
Kontron AIS GmbH

Schulze, Natalie

Body
The semiconductor industry is continuously evolving, driven by innovation, efficiency and scalability. To maintain competitiveness, not only the adoption of new equipment is required, but also the strategic retrofits of existing systems. Learn about the benefits of retrofitting semiconductor production equipment to enable their integration into modern production.Prolonged Use of Existing Production EquipmentRetrofitting is cost efficient as they extend the operational life of current assets. Semiconductor manufacturing equipment is a substantial investment, and leveraging retrofits allows fabs to update these systems to support new process requirements, accommodate advanced materials and improve overall production capabilities. By updating not only the software of a legacy tool, but also outdated components, the risk to require no longer existing spare parts can be minimized.Enhanced Technology Integration and AutomationCentral to a successful retrofit is the integration of sophisticated equipment controls. The utilization of SECS/GEM, GEM300, and Equipment Data Acquisition (EDA) standards is essential. SECS/GEM standards ensure compatibility and interoperability across diverse equipment, while GEM300 standards support automation in 300mm fabs, enhancing throughput and efficiency. EDA further allows for detailed data analysis and predictive maintenance, contributing to higher yield and reduced downtime.Improved Security and MaintenanceRetrofitting also addresses critical security concerns. Modern control software solutions more sophisticatedly support cybersecurity measures to protect against increasing threats. This is crucial for maintaining the integrity and confidentiality of proprietary manufacturing processes. Additionally, retrofits improve maintenance capabilities, incorporating regular tool updates. This is one step ahead to reach conformity with the cybersecurity standards SEMI E187 and E188.ConclusionIn conclusion, retrofitting existing semiconductor production equipment with modern technology, security, and maintenance capabilities presents a strategic pathway for fabs aiming to remain competitive in a fast-evolving market. By leveraging advanced equipment control and integration software, fabs can achieve significant cost savings, improved performance, and enhanced security. Join us in exploring how retrofits can make your fab fit for the future, driving innovation and efficiency in semiconductor manufacturing.

Biography
With two years dedicated work as product manager for equipment control and integration software in the semiconductor industry at Kontron AIS, it is my aim to support OEMs and Fabs to tackle the major challenges we meet: a fast moving market, high speed innovations and keeping up with the pace.

Fab Management Forum
M To top
Melexis Melexis Chombar, Françoise

Chombar, Françoise
Chairwoman and co-founder of Melexis
Melexis

Chombar, Françoise

Body
Panelist

Biography
SummaryFrançoise Chombar is Chairwoman and co-founder of Melexis. She has been the CEO ofMelexis for 18 years. She has held executive positions with a number of tech companies.Ms Chombar is currently member of the Board of Umicore, the Board of Soitec and theadvisory Board to Byteflies.She is equally president of the STEM platform, an advisory board to the Flemishgovernment, aiming to encourage young people to pursue a Science, Technology,Engineering or Mathematics education.Françoise Chombar’s long-term commitment to actively advocating more STEM and moregender balance is driven by the profound belief in their positive societal impact.AwardsFrançoise Chombar is the recipient of numerous awards including the Vlerick Award, theGlobal Prize for Women Entrepreneurs of BNP Paribas, ICT-Personality of the Year byDatanews, Science Fellowship at the VUB, Honorary Award by the Flemish Communityand the Computable Lifetime Achievement Award.EducationMs Chombar holds a Master’s Degree in interpreting (Dutch, English and Spanish) fromGhent University.

European Projects for a Diverse Talent Pipeline
Merck Electronics KGaA Merck Electronics KGaA Ernst, Benedikt

Ernst, Benedikt
VP, Head of Strategy and Transformation Electronics & Semiconductor
Merck Electronics KGaA

Ernst, Benedikt

Body
AI, autonomous driving, and smart manufacturing are among the leading trends for digital transformation, with semiconductors being integral to these advancements. The EU has the potential to revitalize its semiconductor industry, but this can only be achieved through the development of a comprehensive ecosystem and by harnessing the strengths of local players in the value chain. Merck, a leading materials and equipment supplier based in Germany, has been an innovative and transformative partner for semiconductor players worldwide. We have applied our Materials Intelligence to unlock next-generation chips by combining our expertise in chemistry, physics and science with AI solutions. In this talk, we will explore the areas that require the collective attention of EU semiconductor players today to ensure our mutual growth and success in the future. This includes fostering closer collaboration across the value chain, expanding production capacity, strengthening supply chain resilience, and nurturing materials innovation to align with future technology roadmaps.

Biography
Benedikt Ernst is Vice President and Head of Strategy Transformation at the Electronics business of Merck. As a member of the Electronics Executive Committee, he is responsible for the end-to-end strategic development and transformation of the business sector, encompassing market competitive intelligence, strategic roadmap, business transformation programs, and business and portfolio development. He joined in Merck in 2006, and has had various management positions. Since 2018, he has been heading Strategy and Business Development for Electronics and Semiconductor. Before he was Commercial Director for the Semiconductor business and Head of Packaging Business Field. Benedikt Ernst studied physics at the Technical University of Munich and at the Max Planck Institute of Plasma Physics.

Materials Innovation
Merck Electronics KGaA Tolksdorf, Miriam

Tolksdorf, Miriam
Head of Sustainability & Safety Merck Electronics
Merck Electronics KGaA

Body
New technologies like Artificial Intelligence have just begun to change many things of our everyday life. As they permeate more areas of life—whether in private or work environments—their use brings great potential that we want to continue to unlock in order to create value for as many people as possible. It promises to be one of the biggest changes since the invention of the internet. However, this development also leaves its mark, for example in the form of increasing resource and energy demands. To ensure that technological progress and digital living do not develop at the expense of sustainable economic practices, we must always think of both together. Whether it's new materials, improved processes, or entirely different approaches—we will only achieve sustainable growth through innovation. At its core, this means collaboration along the entire value chain. Siloed thinking within company boundaries cannot solve the complex challenges of our time. The entire industry must contribute, from suppliers to end-device producers. Data exchange, transparent dialogue, and collaborative partnerships are key to enabling the next generation of semiconductors and making more sustainable solutions the new standard. What are the most pressing challenges of the semiconductor industry? How can we address them specifically? And what role does Materials IntelligenceTM play? This session provides answers and inspiration—and above all, a call to rethink established practices.

Biography
Miriam Tolksdorf has been leading Sustainability at Merck Electronics since September 2023, pursuing an ambitious roadmap in sustainable material science and green operations across Merck Electronics’ business units. Based on the key belief that advancements in sustainability stem from partnership across value chains, the clear focus is on industry collaboration to foster sustainable innovation, explore new more sustainable solutions and advance industry roadmaps together.Miriam joined Merck in 2009 and has since then held various strategic management and transformation roles across a variety of functional fields, such as Finance, HR, Strategy/Business Development.

Future Disruptions
Merck KGaA Merck KGaA Beckmann, Kai

Beckmann, Kai
CEO, Member of the Executive Board
Merck KGaA

Beckmann, Kai

Body
Coming Soon

Biography
Kai Beckmann, born on September 13, 1965 in Hanau, Germany, joined the Executive Board of Merck in April 2011. He is responsible for the Electronics business sector (formerly Performance Materials), which he has been leading as CEO since September 2017. In October 2018, Kai Beckmann took also over the responsibility for the Darmstadt site and Inhouse Consulting. In addition, he acts as Country Speaker Germany with responsibility for co-determination matters.Prior to his current role, Kai Beckmann was Chief Administration Officer of Merck with responsibility for Group Human Resources, Group Business Technology, Group Procurement, Inhouse Consulting, Site Operations and Merck Business Services as well as Environment, Health, Safety, Security, Quality. In 2007, he became the first CIO of Merck, with responsibility for Corporate Information Services. From 2004 to 2007, he served as Managing Director of the Merck companies in Singapore and Malaysia, and prior to that he held senior executive responsibility for the Information Management and Consulting unit from 1999 to 2004. He began his career at Merck in 1989 as an IT system consultant.Kai Beckmann studied computer science at the Technical University of Darmstadt from 1984 to 1989. In 1998, he earned a doctorate in Economics while working.

CxO Summit
Metahelios Metahelios Altuzarra, Charles

Altuzarra, Charles
Chief Executive Officer and Cofounder
Metahelios

Altuzarra, Charles

Body
All camera sensors made to take pictures in color require the integration of color filter arrays (CFAs). However, CFA technology has a fatal flaw, they are not made to filter light in the infrared range, in particular in the shortwave infrared (SWIR) range specific to InGaAs and QD sensors. However, obtaining spectral information in the SWIR range is crucial across almost all industries including consumer, earth observation, national security/defense and automotive.In this presentation, we introduce Metahelios' Infrared filter arrays (IFAs) developed with our signature pixelated metasurface technology. More to that, we discuss the implied opportunities for applications of compact SWIR multispectral cameras that require no moving parts.

Biography
Dr. Charles Altuzarra graduated from the Nanyang Technological University (NTU) with a PhD in physics with a focus on experimental quantum optics and metamaterials. During that time he was a researcher under the CNRS/Thales/NTU alliance. He then held positions at Heriot-Watt University, Texas A&M University's Institute for Quantum Science & Engineering (position funded by the Air Force Office of Scientific Research), and University of Glasgow in Scotland. He then cofounded Metahelios with his business partner Dr. Yash Shah in 2022. Metahelios develops cutting-edge pixelated metasurface technology for the consumer, defense and space industries.

MEMS & Imaging Summit
minds.ai minds.ai van Heugten, Jasper

van Heugten, Jasper
CTO
minds.ai

van Heugten, Jasper

Body
As semiconductor operations grow increasingly complex, optimizing production schedules has become too challenging for advanced algorithms and skilled manufacturing engineers (MEs). We present how real-time machine learning, specifically reinforcement learning (RL), can enhance decision-making in semiconductor fabrication facilities.Modern 300MM semiconductor fabrication facilities face challenges such as complex hierarchical structures, high financial stakes, and dynamic processes. These facilities contain thousands of tools with unique capabilities, resulting in intricate dispatching schedules. Frequent schedule updates are required due to maintenance, failures, and shifting priorities. This constant updating makes it impractical for MEs to manually optimize scheduling parameters.To address these issues, we present an RL-based solution to support MEs in scheduling decisions. RL uses simulations and historical data to generate optimized scheduling strategies tailored to current FAB conditions. Automating routine tasks allows engineers to focus on exceptional occurrences and enhance productivity. The system offers real-time schedule modifications to improve key performance indicators (KPIs) like throughput, tool idle time, and critical queue time constraint violations. Machine learning integration not only improves these KPIs but also enhances engineers' quality of life by reducing time constraints.The deployed solution has shown significant improvements in wafer production and operational efficiency with virtually no downsides. The implementation is built using the minds.ai Maestro framework and robust and automated Machine Learning Operations (MLOps) processes.Combining advanced machine learning techniques with the expertise of MEs has increased wafer production and improved engineers' quality of life. This approach demonstrates AI's transformative potential in complex manufacturing environments.

Biography
Jasper van Heugten is the Chief Technology Officer at minds.ai. He leads the research and development of the minds.ai Maestro product, an optimization suite for Semiconductor Manufacturing leveraging state-of-the-art Deep Learning (AI) methods, including Deep Reinforcement Learning. He has 9 years of experience in scaling AI from early idea to production at Fortune 100 companies across multiple industries, such as Semiconductor, Pharma, Automotive, Renewables, and Big Tech. He holds a PhD in Theoretical Physics from Utrecht University, the Netherlands.Itzik Gilboa is the CEO of minds.ai, responsible for driving the growth of the company’s revenue and profitability and positioning the company as a strategic partner to its customers.Itzik joined minds.ai in 2023 with over 30 years of Semiconductor Manufacturing technology experience in roles ranging from process technology development, operations management, strategy development, to end product business development and management. Itzik held executive leadership positions at Cypress Semiconductors, SanDisk and Western Digital.Itzik has multiple patents in semiconductor process technology, holds a Master’s degree in Business Administration from San Jose State, a Master’s degree in Materials Science from The Technion IL and a Bachelor’s of Science in Aerospace Engineering from the Technion IL.

Fab Management Forum
MKS Instruments / Atotech MKS Instruments / Atotech Stubbe, Jessica

Stubbe, Jessica
Global Application Manager
MKS Instruments / Atotech

Stubbe, Jessica

Body
In this study, we investigate the challenges and advancements associated with meeting emerging bump requirements in advanced packaging technologies through optimized Electrochemical Deposition (ECD) plating processes. Tracing the historical evolution from Flip Chip to 3-D stacking, the industry's demand for smaller bump sizes and pitches is emphasized. We address the complexities of ECD Cu, Ni(-alloy), and Tin(-alloy) processes, focusing on microbump development and evaluating NiFe alloy as a superior diffusion barrier. The study concludes by highlighting empirical results, offering experimental solutions for miniaturization challenges in advanced packaging, with a particular focus on the promising performance of NiFe as a barrier material.

Biography
I studied chemistry in Berlin, where I earned my PhD in coordination chemistry with a focus on electrochemistry. My career started at Atotech as a scientist in the semiconductor department. Through hard work and dedication, I advanced to lead the electrochemical deposition team in the semiconductor division, overseeing our application processes and ensuring optimal performance in our projects.

Advanced Packaging Conference
Munich University of Applied Sciences Ganser, Richard

Ganser, Richard
PhD Student
Munich University of Applied Sciences

Body
The size and electric field dependent induction of polarization in antiferroelectric ZrO2 is the key to several technological applications that were unimaginable a decade ago. However, the lack of a deeper understanding of the mechanism hinders progress. Molecular dynamics simulations of polycrystalline ZrO2, based on machine-learned interatomic forces with near ab initio quality, shed light on the fundamental mechanism of the size effect on the transition fields. Stress in the oxygen sublattice is the most important factor. The so constructed interatomic forces allow the calculation of the transition fields as a function of the ZrO2 film thickness and predict the ferroelectricity at large thickness. The simulation results are validated with electrical and piezo response force microscopy measurements. The results allow a clear interpretation of the properties of the double-hysteresis loops as well as the construction of the free energy landscape of ZrO2 grains.

Biography
Dear Ladies and Gentlemen,My name is Richard Ganser and I work as PhD student at the Munich University ofApplied Sciences since February 2021. After my bachelor’s degree in technical physics,I decided to deepen my knowledge of semiconductor electronics by studying micro- and nanotechnology. During my studies, I got to know the tools of modern semiconductor research and production from both the theoretical and experimental sides. Inspired by the rapid progress in the field of chip technology, I decided to pursue a PhD in the field of materials science with a focus on ultrathin ferroelectric films for use in state-of-the-art high-performance chips.The basic idea behind the use of ferroelectric materials is to utilize them as non-volatile random access memory, since the access speed is similar to that of classical RAM, i.e. a working memory that retains its last state when powered off. This development would revolutionize today’s computer technology by eliminating the separation between RAM and classic flash memory (hard disk). Even today, the bottleneck in high-performance AI chips is no longer the actual computing power, but the communication speed between RAM and flash, the so-called von Neumann bottleneck, which could be overcome by using FeRAM. FeRAM with ferroelectric PZT as gate layer has been on the market for a long time, but PZT loses its ferroelectric properties below 30nm thickness, which makes the required miniaturization impossible, due to the resulting limited transistor density the devices in question remain uneconomically large and low in performance. Hafnium- and zirconium oxide, introduced 10 years ago, offer an attractive alternative by retaining their ferroelectric properties down to a few nanometers. Much research is being carried out to stabilize and optimize the desired properties, both experimentally and theoretically, as the exact origin of the ferroelectric properties has not yet been conclusively clarified. As part of an international team with groups in France and Portugal, among others, we support our experimental project partners in Dresden with the help of computer simulations. These allow predictions to be made about remanent polarization and phase stability as a function of deformation, doping, and temperature. This requires enormous computing power, which is why we calculate on the SuperMUC in Garching. Despite the use of high-performance computing, conventional ab initio simulations are limited to a few hundred atoms and a few thousand simulation steps. A new method I am using is the use of machine-learned potentials based on ab initio training data. These potentials model the interaction, attraction and repulsion, of the individual atoms among each other and can thus be computed several thousand times larger, up to about 10 cubic nanometers, which corresponds to a realistic grain size in thin films, and several hundred times faster. This allows the investigation of temperature-dependent properties with high statistics and accuracy, such as the change in polarization and even phase transformations down to the smallest atomistic detail. In my work, I also coupled external electric fields to the ions. In this way, I obtained the extensive pyro- and piezoelectric properties of ZrO2 as a function of temperature, which include electrostriction, giant piezoelectric effects at the phase boundary, and negative genuine piezoelectric coefficients. By coupling electric fields into the transient simulation, the ferroelectric switching behavior can be simulated and questions such as the writing speed, which is of enormous importance for use in high-performance computers, can be answered.Another question that remains unanswered is how to stabilize the antiferroelectricity in zirconia. While zirconia crystallizes in a weakly dielectric phase, the monoclinic phase, as a bulk material, e.g. used as dental prosthesis, a strongly dielectric phase, the tetragonal phase, is formed in films below 10 nm, which is already used today as a high-k gate material. Ab initio calculations of pure crystals show that the tetragonal phase transitions to the monoclinic phase due to the higher free energy, which is a discrepancy between simulations and experiments in thin films. Using machine-learned potentials with molecular dynamics simulations, we can simulate a polycrystalline ZrO2 thin film including the grain boundary, stabilize the tetragonal phase at room temperature and investigate the piezoelectric response in direct comparison with experimental piezoelectric force microscopy data. This result represents a breakthrough in solid-state materials simulation and is currently being published in great detail in Advanced Functional Materials. After stabilizing the antiferroelectricity at room temperature in simulations and experiments, the next step in simulation is the addition of hafnium to the machine-learned potential to stabilize the ferroelectric phase over a wider range of film thicknesses and grain sizes, in agreement with experimental results, and to allow a gradual polarization of the films, for example via the grain size distribution. This gradual polarization of the films is of great industrial interest as it allows the modeling of individual synapses. The degree of polarisation and thus the current flowing through the FET is used to store the weight, the strength of the connection between the synapses, in the neuronal network. This hardware approach could enable significant miniaturization of the required computing architecture and lay the foundation for the development of future AI.Sincerely yours,Richard Ganser

Future of Work: Skills & DEIB
O To top
Oculi Rizk, Charbel

Rizk, Charbel
Founder CEO
Oculi

Body
Remarkable progress has been achieved in AI, particularly in the use of deep neural networks, which has significantly enhanced the reliability of face detection, eye / hand tracking, & people detection. However, performing these tasks still demands substantial computational power & memory resources, making it a resource-intensive endeavor that remains to be solved. Consequently, power consumption & latency pose significant challenges for many systems operating in always-on edge applications. The OCULI SPUTM (Sensing & Processing Unit), ideal for smart vision applications, represents an intelligent, programmable vision sensor capable of configuration dynamically to output select data in various modes depending on use case needs. These modes include video, polarity events, smart events, regions of interest (ROI), sparse random access, & actionable information that make the vision sensor efficient. Moreover, the SPU allows real-time programmability of spatial & temporal resolution, as well as dynamic range & bit depth. By enabling continuous optimization, visual AI solutions deploying the SPU can reduce the latency-energy factor by more than 600x at a fraction of the cost. Smart events, ROI, random access, & actionable information output modes are unique to the OCULI SPU. Because the SPU is fully programmable, it can be dynamically optimized between latency & power consumption. It will enable the first truly wireless battery-operated always-on Visual AI products in the market. We will provide an overview of Oculi’s novel vision architecture for edge applications, as well as key results for latency & energy results for multiple use cases of interest. Applicability to various markets including presence/people/pedestrian/object, face, hand, & eye detection will be reviewed. Finally, our results include a comparison with conventional solutions that demonstrate significant advantages in adopting a paradigm shift from imaging to vision for visual edge applications.

Biography
Charbel is Founder and CEO of Oculi. Prior to this venture, Charbel was an Associate Research Professor at Johns Hopkins University, where he was recognized as a top innovator, thought leader, and successful Principal Investigator of multiple innovative and far-reaching concepts and technologies. He has had numerous publications and intellectual property filings, and received 12 achievement/recognition awards. Dr. Rizk was a pioneer in UAV technology, AI & machine learning, and autonomy. He has been a visionary for the optimized signal-to-information architecture that embodies dynamic software-defined multi-modal sensing and fusion and true edge processing.

MEMS & Imaging Summit
Okmetic Oy Okmetic Oy Vuorikari-Antikainen, Anna-Riikka

Vuorikari-Antikainen, Anna-Riikka
Chief Commercial Officer
Okmetic Oy

Vuorikari-Antikainen, Anna-Riikka

Body
***See Victoria***

Biography
Anna-Riikka Vuorikari-Antikainen has a 30+ years’ track record in the semiconductor business. She has worked for the silicon wafer producer, Okmetic, in several management positions during this time. Okmetic is the market leader in advanced, customized silicon wafers for the production of MEMS, sensors, radio frequency filters and devices as well as power devices.Vuorikari-Antikainen has held the position of Chief Commercial Officer since 2020. Prior to this, Vuorikari-Antikainen was Senior Vice President, in the fields of both Products and Customers and Markets, with a full grasp of product and process development as well as Okmetic’s global sales.Prior to her membership in the Executive Management Group, Vuorikari-Antikainen held positions in quality, planning, and new business development at Okmetic since 1992. She has a Master of Science degree in physical metallurgy and strategies and international marketing from Helsinki University of Technology (today Aalto University).Positions of TrustVuorikari-Antikainen is an active member in SEMI organization, such as SEMI’s Silicon Manufacturers Group (SMG) and the International MEMS/MST Industry Forum Committee (MEMS) in Semicon Europe.She has been a board member of several Finnish tech companies and keeps contributing to the MEMS cluster in Finland.

European Projects for a Diverse Talent Pipeline
Omnitron Sensors, Inc. Omnitron Sensors, Inc. Aguilar, Eric

Aguilar, Eric
CEO
Omnitron Sensors, Inc.

Aguilar, Eric

Body
For too long, complex, expensive and laborious ways of manufacturing MEMS deviceshave slowed the growth of a critically important microscale technology.Omnitron Sensors has introduced a new topology for MEMS — its process IP — whichrearranges existing modules to streamline the assembly process and improvecapacitance per unit area. This approach increases device performance andaccelerates the production of MEMS devices for price-sensitive, high-volume markets.Omnitron’s first product, a 3D MEMS step-scanning mirror for long-range LiDAR, is aproof point of its topology. Omnitron’s device is a large 15mm in diameter mirror withtens of degrees of motion and the ability to do step scanning. It’s the first MEMS mirrorto meet the full requirements of FMCW, addressing the mechanical-articulation needs ofmodern LiDAR in autonomous navigation and ADAS.The speaker will provide an overview of Omnitron’s topology for MEMS and will explainhow it may be applied to precision applications such as LiDAR, augmented reality, andimage stabilization.

Biography
Eric Aguilar is a visionary leader in advanced sensor systems for complexapplications such as robotics and autonomous platforms.Eric’s expertise includes leading teams at Tesla, where he managed a crew of 300engineers on the firmware for Model 3, and at X, where he spearheaded thedevelopment of Google Project Wing, an autonomous drone delivery service.Eric led sensor integration at Argo AI. He previously steered product development for asensor company later acquired by Google for $85M.Eric earned a BS in Electrical Engineering from California State Polytechnic University.

MEMS & Imaging Summit
P To top
Porsche Consulting S.A.S. Porsche Consulting S.A.S. Ruhnau, Marius

Ruhnau, Marius
Sr. Manager | Advanced Products & Technologies
Porsche Consulting S.A.S.

Ruhnau, Marius

Body
In the context of global megatrends – including the climate crisis, the impact of AI on various industries, and global decoupling – the demand for high-performing, efficient, and reliable power electronics remains substantial. Silicon carbide-based power semiconductors offer technical advantages over traditional silicon-based solutions. The automotive sector is expected to drive significant market growth. However, in specific applications, other substrates like Si, GaN, or ultra-wide bandgap materials may remain relevant or take precedence. To foster a fast-growing and sustainable SiC market, addressing three key challenges in product development, process optimization, and raw material supply chain management will be essential for the entire value chain.

Biography
Since 2019: Porsche Consulting Germany and France Manager with competence focus semiconductor technology, product strategy and development, product cost optimization, design to cost, cost reduction and profitability programs.

Cultivating a Thriving SiC Market
PTvT PTvT Coates, Matthew

Coates, Matthew
Project Manager
PTvT

Coates, Matthew

Body
N/A. N/A. N/A.

Biography
Matthew Coates is a project manager on the international team of the Dutch National STEM platform, PTvT. As a member of the international team, Matthew primarily works with the EU STEM Coalition - a network of STEM platforms, education ministries, and universities accross Europe, of which PTvT holds the position of secretariat.

European Projects for a Diverse Talent Pipeline
Q To top
QDI systems QDI systems Shulga, Artem

Shulga, Artem
CEO & Founder
QDI systems

Shulga, Artem

Body
Short-wave infrared (SWIR) image sensors play an important role in various defence and security applications, including low-light-level imaging, laser detection and range finding. Pixelated detectors made of indium gallium arsenide (InGaAs) have shown excellent performance for SWIR imaging, however, the cost remains the major barrier for adaptation. In this talk we will present a low-cost, next-generation SWIR sensor. We have developed an alternative technology which relies on lead sulfide quantum dot (PbS QD) photon absorber, which is monolithically and directly deposited on a CMOS readout chip via solution-based process. The uncooled sensor achieves the QE of >20% at 1550 nm with the dark current of 50 nA/cm2. Additionally, the sensitivity range can be extended further to 2.1 um. The technology is suitable for wafer-level manufacturing, thus driving the sensor cost more than an order of magnitude lower. We will present a comprehensive characterization of QD-based imager and demonstrate real-life use cases.

Biography
Dr. Artem Shulga was born in 1988, in the Lugansk region in Ukraine. He completed his Bachelor degree in Applied Physics at the National University of Kyiv. He spent a year working as a Research Engineer in Chernobyl NPP.In 2011, he decided to move to Groningen to complete his Master's degree in Nanoscience. In the same year, he joined the Photophysics and Optoelectronics group, in order to work on colloidal quantum dots in field-effect transistors. Artem defended his PhD thesis, dedicated to the engineering of devices based on quantum dots in 2019. His dissertation, entitled 'Colloidal Quantum Dot Field-Effect Transistors - From Electronic Circuits to Light Emission and Detection' was awarded with the 'Best GEC PhD Thesis Award'. Inspired by a trip to Japan a few years earlier, Artem started the company QDI systems B.V. in 2019. With his strong background in semiconductor electronics and know-how of the fabrication of optoelectronic devices based on QDs, Artem's ambition is to continue running a successful company and to commercialise the discoveries he's made throughout his extensive research.

MEMS & Imaging Summit
QuantumDiamonds GmbH QuantumDiamonds GmbH Bruckmaier, Fleming

Bruckmaier, Fleming
CTO
QuantumDiamonds GmbH

Bruckmaier, Fleming

Body
Advanced packaging solutions such as 2.5/3D integration and chiplets, are becoming essential. However, they complicate access and introduce new failure modes including verticals. Similar problems arise with modern trends such as the move to backside power delivery or new materials for high power devices.Diamond-based quantum sensing can image currents from multiple layers non-destructively via their magnetic field. The technique is capable of detecting defects in hidden layers and infere three-dimensional current density distributions. In addition, high sensitivity is achieved at DC currents as well as higher frequency bands up to several GHz. In this talk, we will present the capabilities of the innovative technique with examples from multiple industries including advanced packages.

Biography
Dr. Fleming Bruckmaier has seven years of working experience in quantum technologies, including a PhD from the Technical University of Munich. He worked on cutting-edge diamond-based technologies targeted at the semiconductor and bio-tech markets resulting in several publications in prestigious journals such as Science Advances or Nature Communications.Since 2022 he is CTO at the Munich-based quantum sensing company QuantumDiamonds, which develops magnetic field microscopes, used in semiconductor electrical failure analysis.

Advanced Packaging Conference
Quantune Technologies GmbH Quantune Technologies GmbH Kischkat, Jan F

Kischkat, Jan F
CEO
Quantune Technologies GmbH

Kischkat, Jan F

Body
Modern medicine has improved dramatically through a better understanding of what causes a condition or what it is correlated to. However, the healthcare system still follows an episodic (reactive) model, where patients only seek medical advice when there are symptoms, which, for certain medical conditions, has proven critically insufficient. Several biomarkers such as uric acid, free fatty acids, or ketone bodies correlate with and thus indicate aspects of chronic conditions such as the metabolic syndrome, prediabetes, or diabetes. The more biomarkers can be measured and quantified with high accuracy, the easier it becomes to make conclusive decisions on the patient’s status.Quantune Technologies provides an alternative approach for the measurement of biomarkers, using Mid-Infrared (MIR) Spectroscopy (or simply Infrared Spectroscopy, not to be confused with Near-Infrared Spectroscopy), the most powerful laboratory analytics tool, used in biological and chemical labs around the world for decades to analyze, among many other things, the molecular composition of body fluids and tissue. Currently, this kind of technology is stuck to laboratory use only due to its desktop size, the need for a vibration-free environment, cryogenic temperatures, and extensive sample preparation and maintenance. Additionally, the devices are expensive, with a cost of around 100k€. Quantune has developed an MIR spectrometer based on a widely tunable Quantum Cascade Laser and touch-detector based on the photoacoustic effect. It is miniaturized to wearable-level with hyper-scalable production for volume markets. It is also robust and suitable for everyday use. The spectral coverage of the technology of 5–11 μm is ideally suited to detect biomarkers non-invasively and pain-free in the interstitial fluid in the skin.

Biography
Jan studied physics at Humboldt-University Berlin and at the University of Illinois at Urbana-Champaign with a Fulbright scholarship. For his PhD, he worked on novel External-Cavity Quantum Cascade Lasers, before founding Quantune Technologies in 2019. Quantune is transforming infrared spectroscopy by bringing its lab-grade analytical power to new markets and people’s lives. He co-authored 6 patent families and has won several scientific awards, most notably the 2023 Bertold Leibinger Innovationspreis.

MEMS & Imaging Summit
Qurv Qurv Goossens, Stijn

Goossens, Stijn
CTO
Qurv

Goossens, Stijn

Body
Computer vision (CV) enables machines and their users to interpret and understand the world around them, making them more intelligent. Current CV systems face limitations under adverse weather or light and struggle to capture more information than a human already sees. Wide-spectrum image sensor technology overcomes these challenges by providing eye-safe active illumination without sunlight interference, offering the ability to penetrate through fog or smoke, and enabling molecular imaging. Quantum dot (QD) based technology is an attractive candidate for high-volume manufacturing of affordable and RoHS-compliant wide-spectrum image sensors. Qurv presents its latest QD-based wide-spectrum image sensor developments, focusing on scalability and computer vision-first use cases.

Biography
Dr. Stijn Goossens is CTO of Qurv and a pioneer in wide-spectrum image sensor technologies based on quantum materials such as colloidal quantum dots. He is inventor of multiple patents in qurv’s portfolio. He obtained his PhD from Delft University of Technology and continued his career as a team lead at the Institute of Photonic Sciences (ICFO). In 2020, he co-founded Qurv as a spin-out of ICFO to develop and commercialize wide-spectrum image sensor technology for high volume computer vision applications.

MEMS & Imaging Summit
R To top
Racyics GmbH Racyics GmbH Döll, Patrick

Döll, Patrick
Phyiscal Implementation Engineer
Racyics GmbH

Döll, Patrick

Body
Get an insight to my journey as a SEMI 20 under 30 winner, from early years through university to managing cutting-edge projects in the semiconductor industry. Discover what the workday of a chip designer in a mid-tier business looks like and where the role extends beyond engineering to project management, marketing, and product leadership. In this talk, I will share my personal experiences and challenges, illustrating how a passion for semiconductors can lead you to diverse and rewarding career paths. Furthermore, together we will explore the world of semiconductor design within Racyics – Europe’s leading Design Partner for Integrated Circuits - and highlighting the unique opportunities for growth and innovation. We will also touch on the future opportunities within the company, offering insights into how these roles can evolve and intersect.Whether you're curious about the life of a chip designer or eager to explore broader opportunities in microelectronics, this talk offers valuable insights and inspiration for anyone at the start of their professional journey.

Biography
Patrick Döll is an experienced Physical IC Design Engineer at Racyics with a master's degree from the RWTH Aachen university, specializing in Micro- and Nanoelectronics. Due to his contribution to numerous tapeouts in advanced nodes, he shows expertise in the entire chip design process, from the initial design stage to the final product, which allows him to manage cutting-edge projects in the semiconductor industry. On top, he actively supports the Design Enablement Service of Racyics by participating in the product development of makeChip - a cloud-based chip design platform - and is involved in the company's marketing efforts.

Future of Work: Skills & DEIB
RHP-Technology GmbH Vozarova, Maria

Vozarova, Maria
Material and 3D Printing Researcher
RHP-Technology GmbH

Body
E. Neubauer1, Z.Kovacova1, Lea Babejova1, Maria Vozarova1, Carmen Vladu1, M.Kitzmantel1, D.Dewire2, J.Vriens21RHP-Technology GmbH, A-2444 Seibersdorf2Hi-Rel Alloys – A Qnnect Company, Niagara Falls, ON L2H 0Y5, CanadaLightweight dissipative materials with tailored Coefficient of Thermal Expansion (CTE) is a focal point in materials used in electronic packaging applications. This research aims on materials possessing not only high thermal conductivity, but also allowing to provide significant CTE reduction to conventional Aluminum, Copper and Silver alloys widely employed in electronics. A second material category comprises aluminum alloys reinforced with carbon fibers or with Silicium Carbide (Al-SiC). Especially the fiber reinforced composites allow to tailor the anisotropy of thermal properties. New concepts for the manufacturing have been applied, including methods such as direct hot pressing which allows a precise control of the SiC or Carbon fiber content and facilitates the production of large plates with uniform microstructure up to 400 mm in diameter. This approach allows minimizing energy consumption, while ensuring customizable properties and enhanced thermal and mechanical performance tailored for specific applications. Thermophysical analysis have been carried out showing the positive impact of the inserts on the reduction of the coefficient of thermal expansion as well as the improvement of the thermal diffusivity/conductivity value. Examples of different shapes and heat sink components, which were realized, will be presented.

Biography
Coming Soon

Advanced Packaging Conference
Riga Technical University Riga Technical University Ozolins, Oskars

Ozolins, Oskars
Professor
Riga Technical University

Ozolins, Oskars

Body
The increasing demands of Artificial Intelligence (AI) applications are placing more stringent requirements on optical interconnects, particularly in terms of bandwidth and speed. To meet these challenges, large bandwidth modulators have become essential for enabling high-speed data transmission across a variety of applications. Multiple technologies, including Indium Phosphide (InP), Silicon Photonics (SiP), heterogeneously integrated InP on SiP, thin film lithium niobate, and plasmonics, offer promising solutions for the development of these high-speed modulators.In this talk, I will provide an overview of our group’s recent activities focused on the design and testing of large bandwidth modulators. We have achieved several world-record demonstrations in recent years, utilizing InP, SiP, and heterogeneously integrated InP on SiP waveguide modulators. These accomplishments highlight the cutting-edge advancements in modulator technology, positioning our work at the forefront of high-speed optical communication research.

Biography
Professor Oskars Ozoliņš defended his Ph.D. degree at Riga Technical University in 2013 and his habilitation degree at KTH Royal Institute of Technology in 2021. He is an Academician at the Latvian Academy of Science. He is coholder of 10 world records reported at conference post-deadline sessions: (1) on optical amplification free 200 Gbps OOK transmitted with single integrated externally modulated laser (EML) in InP at OFC2022, (2) on highest OOK and PAM symbol rate with InP EML at OFC2023, (3) on electroabsorption modulator that is heterogeneously integrated InP on silicon waveguide at OFC2024. Recent demonstration includes 224 Gbaud OOK generated with TFLN modulator that will be presented at ECOC2024. He is the author of around 250 international journal publications, conference contributions, invited talks/tutorials/keynote/lecture, patents, and book chapters (h index 27, citations 2730). Professor O. Ozoliņš is a Technical Program Committee (TPC) member of the ECOC and OFC.

III-V Summit – Integrated Photonics
Rigetti Computing Rigetti Computing Kulkarni, Subodh

Kulkarni, Subodh
CEO
Rigetti Computing

Kulkarni, Subodh

Body
Superconducting quantum computing is one of the leading modalities of quantum computing, a fundamentally different approach to processing information. Quantum computing has the potential to transform how many industries address their most challenging problems. Discover the current state of quantum computing and how Rigetti is leading the way to enable hands-on access to quantum hardware to continue to push the boundaries of what’s possible with this revolutionary technology.

Biography
Dr. Kulkarni has served as President and Chief Executive Officer at Rigetti since December 2022. Dr. Kulkarni is a seasoned public company CEO with thirty-plus years of experience in the semiconductor industry and a track record of success in scaling and commercializing cutting-edge technologies. Prior to joining Rigetti, Dr. Kulkarni was President, CEO, and member of the Board of CyberOptics Corporation, a developer and manufacturer of high precision sensors and inspection systems for the semiconductor and electronics industry. He held these roles from 2014 until CyberOptics was acquired by Nordson Corporation in November 2022. Prior to CyberOptics, Dr. Kulkarni was CEO of Prism Computational Sciences, a developer of software tools for scientific and commercial applications in the semiconductor industry. Earlier in his career, he held additional leadership positions, including Chief Technology Officer and Senior Vice President of OEM/Emerging business, global commercial business, R&D and manufacturing at Imation, a global scalable storage and data security company. Dr. Kulkarni began his career in research and management positions with 3M Corporation and IBM. He received his B.S. in chemical engineering from the Indian Institute of Technology, Mumbai, and later obtained a M.S. and Ph.D. in chemical engineering from MIT. Dr. Kulkarni currently serves on the Board of KeyTronic Corporation, a publicly traded electronics manufacturing services company, as well as Chairman of the Board for Prism Computational Sciences.

Future of Computing
Robert Bosch GmbH Robert Bosch GmbH Joeres, Stefan

Joeres, Stefan
Vice President for Business Development, Semiconductor Strategy and Strategic Projects
Robert Bosch GmbH

Joeres, Stefan

Body
Coming Soon

Biography
Stefan Joeres has been Vice President for Business Development, Semiconductor Strategy and Strategic Projects of Robert Bosch GmbH since 2014.Born in Mönchengladbach, Germany, on April 15, 1977, he is married and has two children. He studied electrical engineering and information technology at RWTH Aachen (Germany).He Graduated as Dipl.-Ing. And finalized his doctorship in 2008 about system simulation for high-frequency circuits at the RWTH Aachen.

ITF Chip into the Future
Robert Bosch GmbH Koyuncu, Metin

Koyuncu, Metin
Senior Project Manager
Robert Bosch GmbH

Body
Power electronics systems based on wide band-gap materials play an essential role in future power conditioning and conversion systems. Among these SiC is at the forefront due to its advantages in efficiency and thermal behavior. The EU funded innovation project TRANSFORM demonstrates a complete European SiC based power electronics value chain. 32 partners from industry and academia cover materials, processing equipment, devices, power modules and systems to build up this value chain in essential application domains such as e-mobility, industry, agriculture, and renewable energy. Power MOSFETs are processed in series production lines on advanced SiC substrates. They are benchmarked to standard mono-SiC substrates in aspects of defectivity and processability. Device characteristics are compared to those on standard wafers and devices are used in real applications in various demonstrators. While showing some processing challenges, devices on advanced substrates have shown superior behavior in terms of RDSON, reverse recovery charge and bipolar degradation. Power modules with copper-based assembly and interconnection technologies, i.e. copper bonding on copper metallized SiC with copper sinter paste, are developed showing very promising reliability data. High density power modules as well as new manufacturing approaches are developed that improve thermal and electrical performance. An innovative current source gate driver is developed that enables gate shaping and in-system parameter identification to ensure optimal utilization of single as well as parallel connected power switches. Highest performance at lowest possible cost along the entire lifetime is maintained by taking degradation of power switches and interconnects into account. The innovative technologies are showcased in five demonstrators in above mentioned application domains. This project has received funding from the Key Digital Technologies Joint Undertaking (KDT JU) under Grant Agreement No101007237. The JU receives support from the European Union’s Horizon 2020 research and innovation program and Germany, France, Italy, Sweden, Austria, Czech Republic, Spain.

Biography
Metin Koyuncu joined Bosch in 2001 as an electronics packaging engineer. He has been active in the field of assembly and interconnection technologies for signal and power packages for automotive and photovoltaics, flexible electronics and molded interconnect devices. Currently he is working as a project manager in the power semiconductors and modules unit of Bosch in Reutlingen, active in publicly funded projects. He is the project coordinator of “TRANSFORM” funded by the KDT-JU.

ELECTRIFICATION & POWER SEMICONDUCTORS
Robert Bosch GmbH Robert Bosch GmbH Bornefeld, Ralf

Bornefeld, Ralf
Sr. Vice President of Business Line and Engineering Power Semiconductors and Modules
Robert Bosch GmbH

Bornefeld, Ralf

Body
Coming soon

Biography
Ralf Bornefeld is Senior Vice President with responsibility for business line and engineering of Power Semiconductors & Modules at Bosch. He joined Bosch in November 2019.Before he held various management positions at Infineon Technologies AG: senior director technology in frontend production from 2005-2008, senior director engineering of automotive sensors until 2011 and finally vice president and general manager business line automotive sensors.Ralf started his career at Elmos Semiconductor in 1992 as a technology development engineer. Afterwards he took several management positions until end of 2004, mostly serving as vice president of R&D and eventually as vice president of business line microsystems.Ralf Bornefeld was born in Schalksmuehle, Germany, in 1964. He graduated with a degree in Electrical Engineering from Technical University of Dortmund in 1992.

Cultivating a Thriving SiC Market
Robert Bosch Semiconductor Manufacturing Dresden GmbH Robert Bosch Semiconductor Manufacturing Dresden GmbH Fischbach, Sarah

Fischbach, Sarah
Group lead data analytics and machine learning
Robert Bosch Semiconductor Manufacturing Dresden GmbH

Fischbach, Sarah

Body
To ensure a high-quality and cost-effective manufacturing in a modern semiconductor fabrication it is crucial to install high levels of automation and to leverage big data and AI. The integration of such technologies into a production system, however, can only be successful with a data-centric architecture, strict data governance and standards, and a data-driven mindset of the people shaping those systems. The presentation will illustrate how these enablers were installed and are permanently fostered at the new Bosch 300mm wafer fab in Dresden. Some highlights from the analytics and AI use cases will showcase the effectiveness of those factors.

Biography
Sarah Fischbach is a group lead for Analytics and Machine Learning at Robert Bosch Semiconductor Manufacturing Dresden GmbH. She joined Bosch in 2018 working on strategies for advanced analytics solutions for the new 300mm semiconductor plant. Before that she has been a research associate working on Semiconductor Physics at Technical University of Berlin completing a PhD in 2019. She holds a master’s degree in Physics from Technical University of Berlin and a bachelor’s degree in Physics as well as a diploma in Technical Economics from Karlsruhe Institute of Technology.

Fab Management Forum
Robovision Robovision Van Poucke, Bart

Van Poucke, Bart
VP Market Strategy and Development
Robovision

Van Poucke, Bart

Body
In the context of back-end packaging and test lines, the semiconductor industry is witnessing a paradigm shift towards Industry 4.0 principles. This represents a significant opportunity for Integrated Device Manufacturers (IDMs) and Outsourced Assembly and Test (OSAT) entities to enhance throughput, minimise capital expenditures, and gain a firmer grip on cost efficiency.Chiplets and heterogeneous integration solutions are characterised by the use of new materials, more complex process steps, and a high level of customization. This results in a growing defect complexity and the need for very efficient and high performance quality control.Traditional approaches often lead to significant time expenditure on recipe creation, as the number of products, customised processes, process steps, and inspection machines increases. Even the most advanced recipes struggle to classify all defects with great accuracy.In this paper, we chart a path to lights out operation in the era of advanced packaging. We first introduce a new approach using deep learning vision AI for defect inspection and then present a path to large scale operation by means of tangible strategies and solutions for the main operational challenges.The approach can be best described as a “Subject Matter Expert first” workflow providing minimum description and knowledge loss in the creation of vision AI models which helps achieve human-like performance. Combined with a set of easy to use governance flows for label purity, data set purity and model performance monitoring this approach also guarantees optimal model performance at initial model creation and during model operation.Instantiating this approach in a systemic way requires a platform approach governing the full model life cycle management with self-service data consumption for SME’s and data scientists. A single platform, providing flexibility in early process research and stability in High Volume Manufacturing.The approach shows an 48% increase in FTE productivity for critical competences like data scientists, process owners and computer scientists and as such tackles the critical competence challenges of the semiconductor industry. The SME-first approach both creates trust with SME’s to start using vision AI, while it enables them to fully drives model outcomes. Last but not least it offers an agile model development capable of driving continuous model improvements and handling the large product mix in an efficient way.

Biography
Bart Van Poucke is leading the semiconductor market strategy and devlopment at Robovision, a platform provider for developing scalable vision AI solutions to power intelligent machines. He started his semiconductor carreer at imec in Belgium in various roles from research engineer towards business devlopment roles.

Advanced Packaging Conference
Rogue Valley Microdevices Rogue Valley Microdevices Gomez, Jessica

Gomez, Jessica
CEO
Rogue Valley Microdevices

Gomez, Jessica

Body
Although the semiconductor industry began manufacturing on 300mm wafers in the early 2000s, benefiting from economies of scale, design standards, and standardized process nodes, the MEMS industry has lagged. In MEMS, it’s still a single product, single process world – making it time-consuming and expensive to bring new devices to market. As the number of 300mm CMOS fabs continue to increase, so does the demand for 300mm-capable MEMS foundries to support critical technology integration, scalability, and efficiency.For the MEMS industry, the adoption of 300mm wafers will signify a substantial leap forward in manufacturing automation and cost-effectiveness, yet it still presents many challenges. MEMS processing frequently utilizes non-CMOS compatible materials for metallization, sensing and actuation, making it nearly impossible to support both CMOS and MEMS manufacturing in the same facility. To be successful on a 300mm platform, MEMS foundries will need to manufacture a high mix of products to ensure their facilities run efficiently and at capacity.This session will explore how Rogue Valley Microdevices – a pureplay, full-service precision MEMS foundry – has reacted to this need for 300mm MEMS with the construction of its second fab, a flexible, 300mm-capable MEMS foundry in Palm Bay, Florida with production set to begin in 2025. Rogue Valley Microdevices expects to address multiple areas of need, including thin film deposition, through-silicon via (TSV), RDL, UBM, wafer level packaging, and is poised to leverage 300mm technology to propel MEMS sensor development to unprecedented heights.Join us as we explore how flexible, 300mm-capable MEMS foundries promise to revolutionize the integration of microsensors into the supply chain, fostering innovation and unlocking new possibilities for transformative products.

Biography
As founder and CEO of Rogue Valley Microdevices, Jessica Gomez has created a world-class precision MEMS foundry in the heart of Southern Oregon, with a second foundry under construction in Palm Bay, Florida. Integral to her role as CEO, Ms. Gomez practices a business philosophy of offering best-in-class process technology and R&D expertise to customers, to help them achieve the highest quality and reliability in their products. Prior to founding Rogue Valley Microdevices in 2003, Ms. Gomez honed her experience in semiconductor processing and production management through positions at Standard Microsystems Corporation, Integrated Micromachines, and Xponent Photonics.Ms. Gomez plays an active leadership role within and beyond the technology industry. She is a board member of the prestigious SEMI Board of Industry Leaders, and she is the first executive selected for Spotlight on SEMI Women, which honors accomplished women in the global microelectronics industry.

MEMS & Imaging Summit
RWTH Aachen University Esteki, Ardeshir

Esteki, Ardeshir
PhD student
RWTH Aachen University

Body
Two-dimensional materials (2DMs) such as graphene and transition metal dichalcogenides (TMDs) have great potential for heterogeneous integration with advanced silicon technology for future electronics1–4. Most prominently, the latter are considered as channel materials in ultimately scaled metal oxide semiconductor field effect transistors (MOSFETs)5–9. Two open challenges toward their application are the damage-free deposition of high-quality high-κ dielectrics on the 2DMs and controllable doping of the channel to adjust threshold voltages10.In this work, we deposited a non-stoichiometric aluminum oxide (AlOX) layer using an Oxford Instruments AtomfabTM plasma enhanced atomic layer deposition (PEALD) system. This AlOX layer, containing nitrogen and carbon, differs from stoichiometric Al2O3. Short, low-power process steps with remote plasma conditions11 were used to directly grow a thin layer of AlOX on commercially available graphene and on MoS2 which was grown in an AIXTRON MOCVD reactor. Raman spectroscopy data showed no discernible deterioration of the 2DM compared to a standard Al2O3 process. We further validate the 2DM quality with electrical data from field-effect transistors (FETs) encapsulated with AlOX. The current-voltage measurements were performed in a four-point configuration to avoid a strong influence of the contact resistance before and after dielectric deposition under ambient conditions. The AlOX dielectric passivation improved the carrier mobilities in the devices. In addition, the process allows the tuning of graphene´s Dirac and MoS2´s threshold voltages proportional to the thickness of the AlOX layer. Our results show that PEALD deposited AlOX provides a promising route for the encapsulation of 2DM-based electronic devices, as it improves device performance and can be used to tune the Dirac or threshold voltages at the same time.Acknowledgments: This work was funded by the European Union under the Horizon Europe grants 2D-EPL (952792), Graphene Flagship Core 3 (881603), and the German BMBF project GIMMIK (03XP0210).

Biography
Authors:Ardeshir Esteki1, Sarah Riazimehr2, Agata Piacentini1,3, Harm Knoops2,4, Martin Otto3, Gordon Rinke3, Zhenxing Wang3, Annika Grundmann5, Holger Kalisch5, Michael Heuken5,6, Andrei Vescan5, Daniel Neumaier3,7, Alwin Daus1,8 andMax C. Lemme1,31Chair of Electronic Devices, RWTH Aachen University, 52074 Aachen, Germany. 2Oxford Instruments Plasma Technology UK, Bristol BS494AP, United Kingdom. 3AMO GmbH, Advanced Microelectronic Center Aachen, 52074 Aachen, Germany. 4Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven, The Netherlands. 5Compound Semiconductor Technology, RWTH Aachen University, 52074 Aachen, Germany. 6AIXTRON SE, 52134 Herzogenrath, Germany. 7Bergische Universität Wuppertal, 42119 Wuppertal, Germany. 8Sensors Laboratory, Department of Microsystems Engineering, University of Freiburg, 79110 Freiburg, Germany.References[1] Akinwande, D. et al., Nature (2019).[2] Das, S. et al., Nat. Electron. 4, 786–799 (2021).[3] Lemme, M. C. et al., Nat. Commun. 13, 1392 (2022).[4] Fei, W. et al., InfoMat 4, (2022).[5] Dorow, C. J. et al., IEDM 1–4 (2023).[6] Penumatcha, A. et al., IEDM 1–4 (2023).[7] Choi, S. et al., IEDM 1–6 (2023).[8] Chung, Y.-Y. et al., IEDM 1–4 (2023).[9] Chou, A.-S. et al., IEDM 1–4 (2023).[10] Illarionov, Y. Yu. et al., Nat. Commun. 11, 3385 (2020).[11] H. Knoops et al., J. Vac. Sci. Technol. A, 39(6), (2021).

Future of Work: Skills & DEIB
S To top
SEMI SEMI Manocha, Ajit

Manocha, Ajit
President and CEO
SEMI

Manocha, Ajit

Body
Coming Soon

Biography
Ajit Manocha is the president and CEO of SEMI. Headquartered in Milpitas, California, SEMI is the global industry association serving the electronics manufacturing and design supply chain. Throughout his career, Manocha has been a champion of industry collaboration as a critical means of advancing technology for societal and economic prosperity.Manocha was formerly CEO at GLOBALFOUNDRIES. Prior to this he held the role of EVP of worldwide operations at Spansion and earlier served as EVP and chief manufacturing officer at Philips/NXP Semiconductors. He began his career at AT&T Bell Laboratories as a research scientist where he was granted more than a dozen patents related to semiconductor manufacturing processes that served as the foundation for modern microelectronics manufacturing. He has served on the boards of SEMI, SIA and GSA.Today, there is a much broader scope for SEMI to help foster collaboration and fuel growth than we could have ever imagined at its inception in 1970. This scope has to be accomplished without compromising the strong foundation of SEMI – the equipment suppliers and materials makers. Manocha feels SEMI must evolve as the industry’s ecosystem rapidly expands to support smarter, connected applications based on artificial intelligence, machine learning and other disruptive technologies.Manocha is active on global advocacy and workforce development issues and has served on the President’s committees for “Advanced Manufacturing Partnerships” and the President’s Council of Advisors on Science & Technology (PCAST).In 2021, VLSIresearch added Manocha to its Semiconductor Industry Hall of Fame for his leadership of SEMI efforts to address geopolitical trade tensions as well as for his initiative in navigating the many challenges of the COVID-19 pandemic impacting SEMI and the microelectronics industry. In 2020, Manocha was inducted into the Silicon Valley Engineering Hall of Fame, and VLSI named him an “All Star of the Semiconductor Industry” for his visionary leadership in 2019 to restructure SEMI to represent the expanded electronics supply chain.

CxO Summit
III-V Summit – Integrated Photonics
SEMI Europe SEMI Europe Melvin, Cassandra

Melvin, Cassandra
Senior Director, Business Development and Operations
SEMI Europe

Melvin, Cassandra

Body
Coming Soon

Biography
Cassandra Melvin received her BS in Business Management and Neuropsychology at Rensselaer Polytechnic Institute and is Director of Operations at SEMI Europe.For the nine years prior to joining SEMI, she held the position Global Product Manager at Atotech Deutschland GmbH, where she was responsible for managing several hundred electroplating chemistry products in its Semiconductor and Functional Electronic Coatings division. She began her career at the SUNY Polytechnic Institute (formerly the College of Nanoscale Science and Engineering) as a Business Manager focused on strategic and technical programs for semiconductor chemistry and equipment manufacturers. She also held various project and program management roles in clean room operations and IT at SUNY.Cassandra's written work has been published in leading technical magazines and presented at key conferences globally. As an advocate for diversity and inclusion, she is actively involved in SEMI's efforts to promote diversity within the semiconductor industry.

Future of Work: Skills & DEIB
SEMI Europe SEMI Europe Cummings, Victoria
Cummings, Victoria

Cummings, Victoria
Senior Manager, Workforce Development and EU Projects
Semi Europe

Cummings, Victoria

Body
This session will present the results from the first year of the European Chips Skills Academy, a European project funded under the Erasmus+ program to address the talent gap in the semiconductor industry. In particular, it will highlight the ECS Summer School, Educational Leaders, ECSA Student Forum, as well as the courses and career resources available on the Academy's e-learning platform.

Biography
Since joining SEMI Europe in 2023, Victoria works to drive programs that support workforce development and raise awareness of the different career paths in the semiconductor sector. She acts as the Coordinator of the European Chips Skills Academy (ECSA) project and is involved in several projects related to skills.

European Skills & Diversity
European Projects for a Diverse Talent Pipeline
SEMI Europe SEMI Europe Altimime, Laith

Altimime, Laith
President
SEMI Europe

Altimime, Laith

Body
Coming Soon

Biography
Laith Altimime, as President of SEMI Europe, leads SEMI’s activities in Europe and the Middle East and Africa (EMEA). Altimime has P&L responsibility as well as ownership of all Europe region programs and events, including SEMICON Europa. He is responsible for establishing industry standards, advocacy, community development, expositions, and programs. He provides support and services to SEMI members worldwide that have supply chain interests in Europe. He manages and nurtures relationships with SEMI members in the region and globally as well as with local associations and constituents in industry, government, and academia. Altimime has more than 30 years of international experience in the semiconductor industry. Prior to joining SEMI in 2015, He held senior leadership positions at NEC, KLA-Tencor, Infineon, Qimonda and imec. Altimime holds an MSc from Heriot-Watt University, Scotland.

CxO Summit
MEMS & Imaging Summit
Advanced Packaging Conference
Fab Management Forum
III-V Summit – Integrated Photonics
SEMI Europe Srivastava, Kartikey

Srivastava, Kartikey
Senior Specialist, Communications
SEMI Europe

Body
Coming Soon

Biography
Kartikey Srivastava is Senior Specialist - Communications at SEMI Europe. A member of SEMI Europe's team since 2023, his focus is on the Dissemination and Exploitation of Erasmus+ and Horizon Europe projects such as HiCONNECTS, ECDA and ECSA.

EU Digital Forum
SEMI Europe SEMI Europe Gani, Reviliani

Gani, Reviliani
Senior Manager, Diversity and Workforce Development
SEMI Europe

Gani, Reviliani

Body
Coming Soon

Biography
Reviliani joined SEMI Europe in 2019. In her current role as Senior Manager of Diversity and Workforce Development at SEMI Europe, she coordinates the implementation of the EU Erasmus+ project, European Chips Diversity Alliance, with a mission to lower barriers for under-represented groups in the European semiconductor industry through data, training, and engagement programs. She has over 10 years of experience managing various projects across government and public sectors in Jakarta, Paris, and Berlin. Reviliani holds a Master's Degree in Tourism Project from Lille University.

European Projects for a Diverse Talent Pipeline
European Skills & Diversity
Semilab Semilab Bölcskei-Molnár, Anna

Bölcskei-Molnár, Anna
Product Manager
Semilab

Bölcskei-Molnár, Anna

Body
Ellipsometry is a valuable technique in silicon photonics industry, offering precise non-destructive measurements of film thickness, refractive index, and surface roughness which is critical for device fabrication. It enables precise characterization of multilayer structures, supporting process control and optimization during manufacturing. By ensuring uniformity and quality in optical components such as waveguides and modulators, ellipsometry is instrumental in advancing the performance and reliability of photonic devices.

Biography
Anna Bölcskei-Molnár is a materials scientist MS since 2016. She has 7 years of experience in the semiconductor industry, rooted in experimental physics and skilled in application development. She is the product manager and software product owner of Semilab's industrial automated ellipsometer product line since 2020. Anna has a strong track record of developing customized measurement methodologies to address specific application needs. She is working closely with cross-functional teams to oversee product development, define requirements, and ensure timely delivery while maintaining product quality.Biography

III-V Summit – Integrated Photonics
siconnex customized solution GmbH siconnex customized solution GmbH Mittermayr, Moritz

Mittermayr, Moritz
Business Development
siconnex customized solution GmbH

Mittermayr, Moritz

Body
Semiconductor manufacturers chase the goal of reduced carbon footprints and running cost, which also applies to the topic of die singulation.The proposed presentation will show how a new approach to the removal of polymers left behind after plasma dicing was found, how the theory was translated into a hardware concept, how the performance and efficiency of this new process was tested, how the results were analyzed and how it will enable the industry to take another step towards eco-friendlier and more sustainable device manufacturing.VI. ConclusionThe removal of post plasma dice polymers with our adapted sulfuric ozone mixtures was a full success, beating the current standard of O2 ashing that our results were pitted against by our client in terms of cleaning efficiency, process time and throughput as underlined by the presented SEM pictures and calculations.

Biography
After graduating from secondary higher school for chemical engineering and gaining experience in industrial, analytical laboratories, Moritz started in Siconnex Wet-Process Engineering Department in Austria in March 2020. He switched over to Business Development in the beginning of 2024 and is now focused on market research and fostering relations with existing and new partners.

SEMICON Europa
Siemens EDA Siemens EDA Heurung, Thomas

Heurung, Thomas
CEO Siemens Electronic Design Automation GmbH & Technical Director EMEA
Siemens EDA

Heurung, Thomas

Body
Coming Soon

Biography
Since graduating with a degree in electrical engineering in 1997 from Friedrich-Alexander University in Erlangen, Thomas Heurung has been assisting customers in solving various challenges in industrial applications. His first engagements were with the development of electrical systems for automobiles and airplanes, embedded software for distributed control systems, and eventually moved on to the development of complex electronic systems and components.After transitioning from Synopsys to Mentor Graphics in 2004, which became part of Siemens AG in 2017 and is now known as Siemens Electronic Design Automation, he held various responsibilities such as global business development and establishing and leading technical sales in Europe and India for the Capital and Volcano product lines.Since 2020, he is serving as Technical Director, responsible for the technical sales of semiconductor and electronic systems development tools at Siemens EDA in EMEA.

ITF Chip into the Future
Soitec Soitec Bernard, Fanny

Bernard, Fanny
Deputy Sustainability
Soitec

Bernard, Fanny

Body
At Soitec, our products are designed and manufactured to provide greater performance, security and agility, while guaranteeing energy efficiency to the resulting applications. This is the heart of our technology and our value proposition. Sustainability thus integrates Soitec’s core business with the energy efficiency of its products. This has naturally inspired and structured the way we conduct our activities. For several years, we have been constantly innovating to manage our activities more sustainably, with a particular attention to reducing the carbon footprint of the entire microelectronics value chain, reducing our water withdrawals and taking into account environmental criteria in the design of our products.

Biography
Fanny Bernard joined Soitec in 2010 and is currently Deputy of Sustainability Department. She works on issues of impact and responsibility, both on the environmental, societal and territorial aspects.With more than 10 years of experience in corporate and internal communications in international industrial environments, Fanny specializes in ESG and benefits from expertise around responsibility and sustainability issues. From 2020, as ESG manager, she was responsible for creating and implementing Soitec's ESG strategy in line with the company's strategy. She has coordinated the different stakeholders, set up extra-financial reporting and worked to raise awareness and popularize all of the group's commitments to various audiences such as employees, investors, institutions and the general public. Fanny graduated from Sciences Po Strasbourg and Grenoble Ecole de Management.

Future Disruptions
Soitec Soitec Sabonnadière, Emmanuel

Sabonnadière, Emmanuel
Sr. Vice-President of the Division Automotive & Industrial
Soitec

Sabonnadière, Emmanuel

Body
Coming soon

Biography
Since July 2021, Mr Sabonnadiere is Senior Vice-President of the Division Automotive & Industrial ofSoitec. He is also in charge of the Strategic Program SiC.From September 2017 to July 2021, Mr Sabonnadiere was CEO of CEA-Leti, one of the mostinnovative Labs in the industry of microelectronics and biotechnology, based in Grenoble (France).Previous two years, Mr Sabonnadiere was CEO & Chairman of the Business Group Professional ofSignify, former Philips Lighting (Amsterdam). From 2014 till 2016, he served as Senior Associate ofMidCap Private Equity firm named Gimv (Paris, Antwerpen, Munich, Den Haag).Previously in his career, Mr Sabonnadiere was CEO & Chairman of General Cable Europe & Africa(Barcelona). From 2005 till 2008, he was CEO of NKM Noell Gmbh, the German branch of the groupREEL. Mr Sabonnadiere was vice-president of the Distribution Transformers division of Alstom T&Dfor 5 years. He began his career in 1992 with Schneider Electric holding various positions includingthat of Managing Director of equipment units for 10 years.Mr Sabonnadiere has a strong innovation and technological background combined with a successfulbusiness track record over decades and some key innovations adopted into the markets. With 30+years of executive leadership of large operations, he produced high level performances of operatingmargins & results and generation of cashflow. He gained a sound experience of change managementin large multi-cultural organizations to adapt to new markets conditions and dynamics in European andInternational environments. He designed and set-up ambitious strategic plans including some merge &acquisitions.Mr Sabonnadiere believes in operational excellence, innovations in technology, talents managementand enthusiasm in leadership. His sound experience in the European industry make him a highlyknowledgeable and respectful Board member.Mr Sabonnadiere obtained a PhD in physics (France), and an engineering degree in InformationTechnology (France). He holds an MBA (France)Mr Sabonnadière is a fully qualified instructor at the ski school in Les Ménuires, and member of theAdvisory board of IAC Consultant and Sparring Capital firm.

Cultivating a Thriving SiC Market
Soitec Schwartzmann, Jerome

Schwartzmann, Jerome
Senior Industrial Strategy Director
Soitec

Body
Coming Soon

Biography
Coming Soon

Smart Manufacturing
SOITEC Belgium NV SOITEC Belgium NV Germain, Marianne

Germain, Marianne
General Manager BU GaN & CEO
SOITEC Belgium NV

Germain, Marianne

Body
Coming Soon

Biography
Dr Marianne Germain received in 1999 her PhD degree in Electrical Engineering from the University of Liege (BE), where she conducted research in close collaboration with RWTH Aachen (D), and as invited post-doc in Purdue University (US) and Würzburg University (D). In 2001, she joined IMEC, where she became in 2004 Program Manager of the “Efficient Power/GaN” program. In May 2010, she co-founded “EpiGaN”, a spin-off located in Hasselt manufacturing GaN epiwafers for electronics applications, where she acted as CEO and member of the Board of Directors. EpiGaN nv was acquired by SOITEC in May 2019, where she is now acting as General Manager of BU GaN and CEO of SOITEC Belgium NV.

ITF Chip into the Future
Spectricity Spectricity Borremans, Jonathan

Borremans, Jonathan
CTO
Spectricity

Borremans, Jonathan

Body
Mobile phone cameras have rapidly evolved from basic imaging tools to sophisticated devices capable of capturing stunning, high-quality images. The addition of advanced features, such as 3D imaging, has further extended their functionality, enabling technologies like face ID and augmented reality, which, in turn, pave the way for next-generation applications. These advancements are made possible by the seamless integration of sophisticated hardware with cutting-edge software, including AI and advanced image processing algorithms, pushing the boundaries of mobile camera capabilities.At the forefront of this technological revolution is Spectricity, which has developed ultra-miniaturized CMOS multispectral cameras based on integrated, pixelated spectral filters. These cameras deliver exceptional spectral and spatial resolution while maintaining a compact form factor and video capability, making them perfect for integration into mobile devices. By utilizing standard CMOS foundries for fabrication, Spectricity ensures scalability and cost-effective production, making these innovations accessible for widespread use.The key innovation of these cameras lies in their ability to capture the spectral signature of a scene, providing detailed information beyond the capabilities of conventional RGB sensors. This opens the door to a wide range of applications that were previously unattainable with traditional imaging technologies. Multispectral cameras enhance color accuracy and white balancing, resulting in more realistic images and videos. In the cosmetics industry, these cameras can precisely assess skin tones, enabling personalized product recommendations. In healthcare, they offer the potential for early diagnosis by detecting subtle changes in skin or tissue that are invisible to the naked eye. Furthermore, this technology unlocks new possibilities in smart agriculture, industrial sorting, and process monitoring.In this presentation, we will introduce Spectricity’s innovative products and delve into the diverse applications they enable across various industries. Spectricity is driving the next generation of imaging solutions for mobile and industrial use cases, setting new standards for the future of imaging technology.

Biography
Jonathan Borremans earned his PhD in Electronic Engineering from imec in 2004. With extensive leadership experience in wireless, MEMS, and imaging projects, he notably led imec’s specialty image sensor group as Program Manager and Head of the design group. In 2018, Jonathan founded Spectricity, where he now serves as CTO, driving innovation in miniaturized multispectral image sensors for consumer applications. He also serves as a board member of Flanders Semiconductors, contributing to the advancement and future of semiconductor technology in the region.

MEMS & Imaging Summit
ST Microelectronics ST Microelectronics Nicoleau, Serge

Nicoleau, Serge
Group Vice-President Technology
ST Microelectronics

Nicoleau, Serge

Body
To be shared soon.

Biography
Since 2024, Serge Nicoleau is Group Vice-President Technology in charge of defining, implementing, and driving the R&D governance of Digital and BCD technologies of STMicroelectronics. He started his career in STMicroelectronics in 1998 in manufacturing management of the 200mm Crolles fab, nearby Grenoble. After various positions in manufacturing, process engineering, equipment engineering, he joined in 2004 the Crolles 300mm fab within the Crolles2 Alliance between STMicroelectronics, Motorola/Freescale and Philips/NXP. In 2007, he became Director of Industrial Technologies, before enlarging his responsibilities in 2012 as Deputy Director of the Operations of both Crolles 200 and 300 fabs.This role included a specific responsibility for the industrial challenges of Automotive and IoT products in technologies ranging from 0.5μm down to 28nm critical dimensions with their multiple variants and options. In 2018, Serge Nicoleau is engaged into the new STMicroelectronics 300mm fab program in Agrate (Italy) to support Smart Power, Analog Mixed Signal and eNVM products. Then in 2020, he took the responsibility of the Technology & Design Platforms organization as General Manager. This organization is covering digital technologies, fast analog technologies, embedded memories, and optical sensors, with teams in France, in India, in United States which are addressing the digital products of STMicroelectronics.Serge Nicoleau holds an Engineering Degree of the Ecole Polytechnique (Paris), a master’s degree in Theoretical Physics of the Ecole Normale Supérieure (Lyon) and a PhD in Particle Physics.

Fab Management Forum
ST Microelectronics Crolles Villieu, Cyril

Villieu, Cyril
Process Engineer
ST Microelectronics Crolles

Body
Human and industrial activities drive climate change, and the increasing demand for electronic devices underscores the need to address greenhouse gas emissions in semiconductor manufacturing. Enhancing the sustainability of current and future microelectronic products is crucial for our industry.Several solutions have proven effective in reducing GHG emissions. The key is to implement these solutions in existing equipment, such as the Lam Research 2300 dry etching tools at STMicroelectronics Crolles. Clear net zero goals motivate teams to work on these projects.Improving products is ambitious and requires rigorous methods to ensure lasting achievements for next-generation devices. Our project, based on measurements by Lam Research and confirmed by ST Crolles consumption studies, uses dedicated Lam software to quantify process impacts. A detailed method identified processes to optimize for reducing harmful gases, and solutions were tested in an industrial environment for quick feedback and adjustments.The semiconductor industry’s rapid expansion and fast-changing capabilities make it essential to adapt new sustainable solutions to the latest processes. By dedicating a dry etching platform and combining ST R&D, production, and Lam resources, we achieved significant results, leading to a substantial reduction in GHG emissions in plasma etching modules.

Biography
Process engineer in dry etching section of STMicroelectronics Crolles sonce 2018, particularly involved in conductor etching.Graduated in 2017 with a engineer diplmoma and master in university of Orléans, specialized in plasma techonologies.

Fab Management Forum
STATS ChipPAC STATS ChipPAC Yang, Cheng

Yang, Cheng
Corporate Fellow
STATS ChipPAC

Yang, Cheng

Body
With ever growing demands of AI and cloud applications, advanced packaging especially 2.5D/3D with chiplet integration, becomes a major technology path to meet the increasing demands of computing power. Power delivery and thermal management are two crucial roadblocks in various scenarios, e.g. when large size xPU power can reach thousand watts, liquid cooling and high efficiency power modules at both board and package level become prerequisites for the system to work properly. Package and silicon power delivery solutions, e.g. silicon capacitors (including DTC, MIM etc.), integrated VR etc. add process complexities and cost but are necessary to make the advanced node IC work properly. Further integration in both board and package level can been foreseen, e.g. 48V package integrated VR to meet future demands. On the other side, the thermal management (basically all power delivered to computing ICs will be dissipated as heat) becomes very challenging, advanced package and system level cooling methods are needed. Thermal interface material is a major concern at many cases where advanced TIM becomes a very hot topics in the industry. Heat induced reliability (silicon, package) is also a critical job for the packaging industry. Key trends observed and a few cases will be discussed.

Biography
Dr. Yang is currently corporate fellow for JCET STATS ChipPAC. He has more than 20 years’experience in electronics system and IC packaging development. At present he is leading corporate technology office at JCET STATS ChipPAC. Before joining JCET, he was at Flex on SiP products and technology development in IoT, automotive, medical, and industrial applications, covering design, manufacturing, and testing areas. He has worked at Intel on memory packaging design and technology development for 13 years. Dr. Yang hold a Ph.D. degree from National University of Singapore, EMBA from Washington University in St. Louis, and Master and Bachelor from Shanghai Jiaotong University.

Advanced Packaging Conference
SteerLight SteerLight François, Simoens

François, Simoens
CEO
SteerLight

François, Simoens

Body
LiDAR sensors are key for safe mobility and in particular for automated and autonomous robots, machines and vehicles to detect obstacles. However, today’s commercial LiDAR technologies cannot face the issues of a widespread adoption in terms of costs, reliability and form factors. Steerlight, a CEA-Leti spin-off, develops a third generation of LiDARs on-chip combining at chip-scale level FMCW detection scheme and non-mechanical beam steering thanks to Silicon Photonics. FMCW provides instantaneous depth and velocity information while Silicon Photonics allows a system on-chip integration of the optical and electronics functionalities.This miniature and scalable LiDAR enables high reliability, compactness, cost reduction with high resolution/range performance. These features are ‘must have’ for a mass adoption by the growing markets of automotive and robotics. More generally, such new sensor will empower the use of 3D vision for a wide range of applications such as smart cities, services, homes or even consumer electronics.

Biography
Dr François Simoens is the CEO and the co-founder of SteerLight, a deeptech start-up founded in July 2022 with the ambition to provide smarter 3D vision with a new generation of miniaturized FMCW silicon-photonics based LiDAR sensors. He builds this disruptive value proposition on his prior involvement in photonics developments for more than 25 years. He joined CEA-Leti in 2003 to contribute to R&D institutional and industrial project before taking the position of program manager and expert in the imaging sensor field. During the 15 last years, he has been acting as Business Developer for industrial partnerships and Marketing Manager for the strategic imaging domain.

MEMS & Imaging Summit
STMicroelectronics STMicroelectronics Ferri, Simone

Ferri, Simone
APMS Group Vice-President, MEMS sub-group General Manager
STMicroelectronics

Ferri, Simone

Body
Sensors are at the core of many of our interactions with the world. They serve as the bridge between the physical and digital realms. From this perspective, there is a strong expectation that sensorization remains human-centric, enhancing our daily lives by contributing to a better lifestyle. Also, there is an increasing urgency to keep up with long-term plans regarding sustainability. The path for a net-zero transition is everyone's responsibility, and embedding sustainability practices in our sensor strategy is essential to our people, our business, and society at large. Our commitment to sustainability is reflected in the way our sensors deliver valuable data efficiently across various industries, including automotive, industrial sectors, infrastructure projects, and consumer electronics, ensuring that our technological footprint is both meaningful and environmentally conscious.

Biography
Simone Ferri is General Manager for STMicroelectronics MEMS sub-group since February 2016.Simone began his career in STMicroelectronics in 1999 as an R&D engineer, before moving on to digital designer for the Audio Division, leading into product management after 5 years. In 2014, Simone was entrusted with ST MEMS consumer sensors then with global MEMS-sensor related Marketing and Application activities across all markets and segments, and, more recently with AMS Group Vice-President and General Manager of the MEMS sub-groupSimone Ferri was born in Milan in 1972 and graduated with a degree in Microelectronics from the Polytechnic of Milan, where he also completed his MBA.

MEMS & Imaging Summit
STMicroelectronics STMicroelectronics Le Grevès, Frédérique

Le Grevès, Frédérique
President STMicroelectronics France and Executive Vice President, Europe & France Public Affairs
STMicroelectronics

Le Grevès, Frédérique

Body
Coming Soon

Biography
Frédérique Le Grevès is President of STMicroelectronics France and STMicroelectronics’ Executive Vice President, Europe & France Public Affairs since March 2021. During her career, she has held various positions in management committees of companies in the automotive sector – Aptiv, Nissan Motors, Renault Group, Renault Nissan Mitsubishi Alliance - both in Europe and the United States. In April 2022, she was appointed President of the “Electronic Industry” Strategic Committee (CSF) in France, in July 2022, she was appointed Vice-President of the Electronics Branch of the FIEEC (Federation of Electrical, Electronic and Communication Industries) and in December 2023, Vice-President of the European Semiconductor Industry Association (ESIA).

ITF Chip into the Future
SUSS MicroTec Solutions GmbH Schmidt, Thomas

Schmidt, Thomas
Product Manager Bonder
SUSS MicroTec Solutions GmbH

Body
Integrated high-performance metrology for overlay has become a key requirement for state-of-the-art hybrid bonding applications not only as a potential quality gate for incoming substrates, but in particular to monitor bonding performance in general.Powered by the need of more and more memory and computing capabilities, hybrid bonding is gradually adopted to produce the required chips for HPC application. This advanced packaging technology relies on dielectric fusion bonding of two wafers or dies together at room temperature, electrical interconnection of the Cu pads is formed subsequently at the post-bond anneal in a separate oven. Surface quality, cleanliness and Cu-recess control are key process parameters to ensure high yield and cost-effective integration of this assembly technology. The different bonding strategies, from W2W towards known good dies selected and transferred directly to the target wafer (sequential D2W) or via a temporary substrate (collective D2W) are illustrated in the following figure below.In this article, we want to shift the focus towards the requirements for integrated metrology solutions and discuss the benefits and results obtained by in-line measurement to enable both, high bonding performance and process control.We present the W2W overlay concept based on our dual side pneumatic deflection and bond wave propagation concept. This technology features, together with a 20mK temperature control enables for scaling correction and offer a <50nm post bond accuracy (@3sigma) with a max vector not larger than 80nm. In order to ensure and monitor the bonding performance, a powerful and reliable metrology solution is required. The integration of the ISO3-based SET NEO HB flip-chip bonder into a SUSS production cluster even places additional requirements on the metrology platform for void free sequential D2W placement. Integrated metrology can easily feedback both wafer-to-wafer and die-to-wafer alignment offsets if sufficiently fast and accurate. In case of D2W direct glass carrier pick-up capability allows to handle and realize void free stacking of <50um thin dies assisted by up to 3kgF bond force to mitigate the die-warpage. Furthermore, by combining D2W with W2W bonding, thin dies placed on glass carrier with LR/TBM can be collectively transferred in one single step to the target wafer. Here D2CW overlay error can then be improved by prior overlay mapping and derived global offsets.

Biography
Thomas Schmidt is Product Manager in the Bonder Division of SUSS MicroTec iSolutions GmbH n Sternenfels. After his graduation in Microsystems Technology at the University of applied sciences in Kaiserslautern he has held various positions in MEMS/semiconductor processing and has also lectured on advanced lithography as well as on MEMS and advanced CMOS fabrication.Since December 2017 Thomas Schmidt is a member of the Bonder Division of SUSS MicroTec (product line "Permanent Wafer Bonding“) with a strong focus on automated cluster platforms for MEMS/packaging applications and hybrid bonding for advanced packaging.

Advanced Packaging Conference
Synopsys Synopsys Bjerregaard, Tobias

Bjerregaard, Tobias
Senior Director of AI
Synopsys

Bjerregaard, Tobias

Body
AI, and in particularly generative AI technologies, are set to transform entire industries. AI-based chip design flows are yielding better results and improving designer productivity by adding automation capabilities to assist human experts in the design process. In this talk I will look at the history of AI that has led us to this point and outline key uses of AI in EDA. I will look across the EDA stack at where AI-based approaches have made the highest impact and also look at how generative AI technologies can help capture human knowhow and as such help to mitigate the talent gap that the chip design industry is facing.

Biography
Tobias BjerregaardMBA in General Management, Copenhagen Business School (2017)PhD in Asynchronous Circuit Design and Networks-on-Chip, Technical University of Denmark (2005)MSEE in Solid State Physics, Technical University of Denmark (2000)CEO and founder of startup Teklatech, acquired by Synopsys in 2018. Currently Sr Director of AI at Synopsys, leading a group of AI R&D teams across the US and Europe that explores, develops and markets new EDA methods and tools based on advanced, state-of-the-art AI technologies

Future of Work: Skills & DEIB
T To top
TECHCET CA LLC TECHCET CA LLC Shon-Roy, Lita

Shon-Roy, Lita
President/Founder
TECHCET CA LLC

Shon-Roy, Lita

Body
Coming soon

Biography
Lita Shon-Roy –—has worked throughout the semiconductor supply chain, leading strategy, business development, marketing and sales for chip designers, equipment OEMs, and material suppliers for over 30 years. Her experience spans from process development of memory chips to business development of gases & precursors and capital equipment. She developed new business opportunities for companies such as RASIRC/Matheson Gases, Air Products & Chemicals, and IPEC/Speedfam, and managed marketing and sales in companies such as Air Products/Schumacher, Brooktree/Rockwell, and Hughes Aircraft. Ms. Shon-Roy is considered one of the leading experts in electronic materials market analysis and business development. She has authored and co-authored 100’s of articles, reports and texts on semiconductor process materials markets, trends, and worldwide supply chain issues, and has been quoted in the Wall Street Journal and featured on BBC News. She holds an M.B.A. from California State University, Dominguez Hills, a M.S.E.E. from the University of Southern California, and a B.S. in Chemical Engineering from UC San Diego.

III-V Summit – Integrated Photonics
Technical University of Munich (TUM) Technical University of Munich (TUM) Amrouch, Hussam

Amrouch, Hussam
Prof. Dr.-Ing.
Technical University of Munich (TUM)

Amrouch, Hussam

Body
In the rapidly evolving field of artificial intelligence (AI), the drive for processor customization to substantially enhance efficiency is more critical than ever. In this talk, we will explore the pivotal role of tailoring the underlying RISC-V CPU architecture to meet the specific demands of AI algorithms. We will highlight how brain-inspired hyperdimensional computing presents a compelling alternative to deep learning, thanks to its remarkable capacity to learn from minimal and noisy data. Lastly, we will illustrate how in-memory computing and cryogenic computing open new avenues for dramatically enhancing the speed and efficiency of AI computations.

Biography
Hussam Amrouch is a professor heading the Chair of AI Processor Design at the Technical University of Munich (TUM). He is, additionally, heading the Brain-inspired Computing at the Munich Institute of Robotics and Machine Intelligence. Further, he is the head of the Semiconductor Test and Reliability at the University of Stuttgart. He received his Ph.D. degree with the distinction (summa cum laude) from KIT, Germany in 2015. He has more than 260 publications (including over 110 articles in many top journals like Nature Communications) in multidisciplinary research areas covering semiconductor device physics, circuit design and computer architecture. His research interest is transistor compact modeling, in-memory computing with a special focus on reliability, and cryogenic circuits for quantum computing.

Future of Computing
Technische Hochschule Ingolstadt Pandey, Amit

Pandey, Amit
PhD Student
Technische Hochschule Ingolstadt

Body
Due to increasing active safety requirements from tests like NCAP, it is expected that all newly registered vehicles will soon have at least two cameras, with medium to higher-end vehicles having four. With 80 million new vehicles worldwide annually, this creates a high demand for cameras, which, due to volume, has a high potential for cost reductions. Since the most expensive component in a camera is its objective, it raises the question of whether its complexity can be reduced. This work investigates a curved imager to reduce the number of elements in the objective by eliminating the need to form a planar image.The long-term goal is to attach the imager to the last optical surface, either with a recess in the element to attach bond wires or using a Through-Silicon Via approach. This work presents an intermediate step, where a commercially available imager is thinned and bonded into a curved pedestal, detached from the objective as in established camera designs.The design was first evaluated using silicon chips with thicknesses of 30 and 50 µm. BK7 glass was used as the pedestal’s material, into which a 60mm concave radius was ground. Various liquid adhesives were investigated to bond the chip into the curvature. During assembly, the top side of the chip was vacuum sucked onto a convex 60mm radius tool, adhesive was applied, and the chip was pressed into the pedestal's depression. While remaining in place, the adhesive was cured at 150°C for 15 minutes. The assembly process impact was investigated by measuring induced stress via Raman spectroscopy and bonding quality via scanning acoustic microscopy (SAM). SAM investigation showed that the interconnect with the adhesive was inhomogeneous because of non-uniform adhesive dispensing and pressure application. Therefore, the approach was modified by investigating various adhesive volumes and dispensing patterns. After bonding, high compressive stress concentrations were seen at the center of the edges.In the next step, thinned imagers were bonded to pedestals and to PCBs. Electrical connections were realized by 25 µm wire bonds. After the assembly, it was possible to read register values from the imager, but capturing an image was not feasible. The reason for this was hypothesized to be damage to the imager during thinning. The hypothesis was supported by results from accelerated thermal aging, where cracks appeared after 100 cycles. Work is in progress to achieve a fully functional imager.

Biography
Amit Pandey received a B. Tech degree in Mechanical Engineering fromSRM University in India and an M. Eng. degree in Automotive Engineeringfrom University of Applied Sciences in Ingolstadt. He is a researcher atthe Institute of Innovative Mobility affiliated with Technische Hochschulein Ingolstadt, Germany. He is currently a PhD student at the University ofApplied Sciences in Ingolstadt and his current research topics are reliabilty ofoptical sensors in automotive industry and the effect of aging on automotivecamera and its impact on performance on critical tasks such as objectdetection.

MEMS & Imaging Summit
Teradata Teradata McDonnell, Monica

McDonnell, Monica
AI Strategist - Manufacturing
Teradata

McDonnell, Monica

Body
AI is being increasingly explored to enhance yield and throughput in semiconductor manufacturing. Due to the lengthy manufacturing processes, where functional testing is not available for weeks, earlier decision-making can deliver large rewards. However, data challenges restrict the number of analytic cycles that can be run, limiting the value AI can deliver. A semiconductor fab is a challenging environment for process analytics. Wafer fabs and assembly locations produce vast amounts of data at high velocity. In addition, the data associated with a particular product must be knitted together from different formats, attached to different units of measure (e.g. lot, wafer, device) and collected at different times and steps of the process. Early adopters of AI in the fab most commonly site data volume and speed of analytic cycles as two key inhibitors in moving from pilot to full implementation of their algorithms.The number of analytic cycles can be dramatically improved by bringing the AI to the data instead of taking the data to the AI algorithm. This minimises time consuming data movements and provides an easily auditable path from raw data through data transformations to the AI output. The approach is equally applicable for structured data, time series data and other complex data types such as images or video.By bringing the AI algorithms to the data, semiconductor companies have experienced significantly faster analytical cycles. Faster analytic cycles can be run more frequently and on larger data sets, removing the need for sampling. The approach has already been proven in practice over multiple use cases in the semiconductor industry, with benefits measured in tens of millions of euros per year.This talk will outline the principles of bringing AI to the data to speed analytic cycles, discuss the capabilities needed to implement this approach, and present some case studies.

Biography
Monica McDonnell is and experienced consultant in the field of enterprise software, digital transformation, big data, AI and analytics. She has spent her career consulting within the manufacturing industry in various roles. In her current role, Monica focuses on how manufacturers, inlcuding semiconductor companies, can move beyond the hype to deliver measureable business value from AI.

SEMICON Europa
Tokyo Electron Europe Tokyo Electron Europe Lösel, Maximilian

Lösel, Maximilian
Product Specialist
Tokyo Electron Europe

Lösel, Maximilian

Body
Coming soon

Biography
Maximilian has more than 10 years of experience in the semiconductor industry. After his apprenticeship as mechatronics technician at Infineon Dresden he went to engineering school for mechatronics (state-certified engineer). After that, Maximilian joined Tokyo Electron in 2019 as Field Service Engineer for Thermal Processing Systems and started to study Industrial Engineering, where he a achieved a bachelors degree in 2021. Since 2022, Maximilian is part of the European Furnace Technical Support Team. Since that, he has been involved in different technical projects, such as tool evaluations and various optimizations, working closely with customers. In 2023, Maximilian achieved a master’s degree in parallel to his job in Industrial Engineering from Hochschule Wismar. Since 2024, he is a doctoral candidate at Fraunhofer IPMS / Technische Universität Dresden, working on sustainable solutions for high temperature processes.Dr. Volker Hemel biography:Volker is a twenty-seven year veteran in the Semiconductors industry. After completing his PhD at RWTH Aachen, he started working at VKR Gelsenkirchen department for analytic and environmental control. In 1997, he moved to Tokyo Electron, where he worked as a process engineer for furnaces.Volker is currently working closely with European customers as European Process Manager in Thin Film Formation, supporting and improving their productivity requirements and future-oriented projects.

Fab Management Forum
Transformative Optics Corporation Transformative Optics Corporation Rhoads, Geoff

Rhoads, Geoff
Chief Technology Officer
Transformative Optics Corporation

Rhoads, Geoff

Body
Creating lighting for adequate detection is a primordial problem of imaging. We can trace this struggle to the late 1800s, where solutions began with the flash lamp- an explosive comprised of flash powder and ignition. After 30 years, it was replaced by oxygen and electricity. Harnessing electricity became more efficient, bulbs became reusable, and eventually LED flashes became standard. After over a century of improving lighting, we need a new approach to the problem: how do we maintain image quality regardless of lighting? Hardware solutions have increased Bayer pattern sensors' sensitivity, but maintaining high dynamic range detail in low light remains an issue. The ShadowChrome (SC) ISP is a new approach, built to optimize the performance of every individual sensor. The algorithm exists in two parts. First, we define a standard image set highlighting the nonlinearity of mean pixel values at low SNRs. These are collected and processed with the ShadowChromeProfile (SCP) algorithm, which produces a file (SCProfile) containing information on the noise-floor of the sensor. Second, the SC algorithm leverages SCProfile to intelligently accumulate signal, converging to a lower correlated noise-floor. The result is empirically verified to increase the bit depth of images. SC is suitable for a webservice, on-device processing, or in imaging or video pipelines where raw data is accessible. Visual and performance results, along with partner testimonials show dramatic increase in dynamic range (typically 20dB-30dB), visually sharper details, truer color, and overall reduced noise. With the implementation of ShadowChrome, any camera can continue to produce detailed, high-quality images regardless of lighting- from high dynamic range scenery to nighttime lighting conditions. Beyond our early adopters in live content streaming, we are actively pursuing implementation in CMOS manufacturing, immersive experiences, endoscopic imaging systems, security and more.

Biography
Transformative Optics Corporation (TOC) was founded on the goal of capturing every detail. TOC began with ai-CMOS, a 9-color channel CFA pattern. Sensors with this pattern capture twice as much light, richer feature vectors, and superior color discrimination. ShadowChrome (SC) ISP originated in the development of algorithms supporting ai-CMOS increasing the color channel information. Upon studying SC’s ability to pull detail from dim and low dynamic range imagery, SC was birthed to support standard Bayer pattern sensors. TOC enhances the hardware elements as well, with the Integrated Imaging Modules (IIMs) and Array Cameras. The IIMs are integrated lens and sensor units reducing cost per pixel while increasing image quality. Array Cameras configure solutions of multiple IIMs with specialized data processing algorithms that provide super resolution while maintaining high frames per second. TOC remains focused on advancing these solutions and driving innovation to capture every detail.

MEMS & Imaging Summit
TriEye TriEye Bakal, Avi

Bakal, Avi
CEO & Co-founder
TriEye

Bakal, Avi

Body
Coming Soon

Biography
Coming Soon

MEMS & Imaging Summit
TU Dortmund TU Dortmund Schindler, Janina J.

Schindler, Janina J.
PhD student
TU Dortmund

Schindler, Janina J.

Body
The presentation focuses on spin-dark excitons involved in a novel kind of Fano resonance and on magneto-optical properties of bright excitons in a MoWSe2 van der Waals heterostructure.In InGaAs quantum dots, the discrete bright-dark exciton transition interferes with a continuum of acoustic phonons. This quantum interference leads to spectral features, like an anti-resonance or a bipolar-shaped resonance, characteristic for a Fano process. It allows for a state-selective excitation and detection of the spin-dark exciton up to a temperature of 60 K. Its coupling to the phonon continuum and, in turn, the visibility of the Fano resonance is tuned nonlinearly by an external magnetic field and the optical pumping intensity. Moreover, the shape of the Fano resonance indicates the contribution of either absorbing or emitting phonons as well as allows for distinguishing between real exciton eigenstates and virtual states. Our observations make the spin-phonon Fano process highly attractive for studying optically inactive carrier complexes in semiconductor structures.In an hBN-encapsulated MoWSe2 monolayer, we studied a novel way of resonantly addressing the spin and valley splitting in such kind of ternary alloy. We measured enhanced exciton g-factors directly through high-resolution photoluminescence and inelastic light scattering under resonant excitation of the intra- and intervalley excitons and trions. The scattering process is explained by an exchange-based valley-cross scattering, in which the hole stays at its initial valley while the electron is scattered to the opposite K valley under spin conservation. The recombination is governed by the electron and hole residing at opposite K valleys.

Biography
Dr. Janina J. Schindler studied physics at TU Dortmund University and completed her doctorate in the field of semiconductor and spin physics using high-resolution laser spectroscopy. She focused on exciton-phonon interactions in both GaAs-based quantum dots and two-dimensional quantum materials. During her PhD, she researched at the Institute of Physics in Warsaw, the Wrocław University of Science and Technology and the Ioffe Institute in St. Petersburg.

Future of Work: Skills & DEIB
Tyndall National Institute Tyndall National Institute Wakeel, Saif

Wakeel, Saif
Ph.D. Researcher
Tyndall National Institute

Wakeel, Saif

Body
This presentation will focus on the development of novel packaging processes using micro-optics to achieve high levels of optical connectivity to photonic devices. Furthermore, using micro-optics enables directly pluggable fibre connections, avoiding the need to bond optical fibre arrays to the facet of the photonic device. My presentation will review the theoretical requirements for micro-optical packaging for photonic devices and experimental packaging processes, which have the potential to scale to high volume. These novel packaging processes are particularly suited to data centre applications where the massive growth in bandwidth densities presents significant challenges for photonic device packaging.

Biography
Saif Wakeel is a Ph.D. student in the Photonic Packaging and Integration Group at Tyndall National Institute, University College Cork. He received Master Degree from University of Malaya, Kuala Lumpur, Malaysia in 2022 and Bachelor Degree from Aligarh Muslim University, India, in 2018. Before joining Tyndall, He was with NXP Semiconductors, Malaysia, for two years. He was also a Research Intern at the National University of Singapore (NUS), Singapore. He has spent a year of research exchange at Dicle University, Turkey under Erasmus+ program. His research interests include wafer-level photonic and electronic packaging, novel micro-optics and integration methods, micro-transfer printing, and the reliability of photonic packaging. He has authored several publications, and two invited books with 400+ citations. He is a recipient of Wrixon Research Excellence Fellowship for attending Massachusetts Institute of Technology (MIT) as a visiting researcher.

III-V Summit – Integrated Photonics
U To top
Univ. Grenoble Alpes, CEA, Leti, F-38000 Grenoble, France Univ. Grenoble Alpes, CEA, Leti, F-38000 Grenoble, France Castelein, Pierre

Castelein, Pierre
Parternship manager
Univ. Grenoble Alpes, CEA, Leti, F-38000 Grenoble, France

Castelein, Pierre

Body
Coming Soon

Biography
Pierre Castelein obtained his engineering degree in electronics from the Institut Supérieur d'Electronique du Numerique (ISEN, France) in 1992 and a PhD in electronics from the Institut d'Electronique et Micro-électronique du Nord (IEMN, France) in 1997. Then he joined the Optics and Photonics Division of CEA-Leti as a characterization and modeling engineer for quantum IR imagers. Starting from 2004, he managed several R&D projects with the French defense procurement agency (DGA) involving the design of advanced IR imagers. From 2008 to 2012, he headed the Leti imaging characterization team involved in the specification and characterization of new imagers designed at Leti. In 2012, he became coordinator of the joint laboratory between Lynred (formerly Sofradir) and Leti. From 2015 onwards, he was in charge of Leti's strategic partnerships in infrared imaging with Lynred and coordinated the setup of many R&D projects with DGA, DGE, ESA, CE (H2020). Since 2021, he is partnership manager for Visible Imaging in interaction with STmicrolectronics and international partners.

MEMS & Imaging Summit
University of Cyprus Marnerides, Angelos

Marnerides, Angelos
Asst. Professor
University of Cyprus

Body
Critical Infrastructure Systems (CIS) composing Critical National Infrastructures (CNIs) enabling sectors such as power, manufacturing, nuclear, defence, space and transport are underpinned by Industrial Control Systems (ICS) that have recently been exposed to the Internet and the Internet-of-Things (IoT) technologies by virtue of urging business models. Evidently, this relatively recent interface of such traditionally isolated setups with the IoT has resulted to a rapid surge of sophisticated and targeted Advanced Persistent Threats (APTs) causing significant safety as well as monetary effects on a global scale. Such attack vectors are stealthy, and they target hardware and logical processes that are typically resource-constrained and unprotected. Moreover, they are used frequently in several malicious cyber operations such as nation-sponsored cyberwarfare and cybercrimes. Therefore, a great challenge and need exists on developing and evaluating defence and mitigation mechanisms within realistic setups that also adhere to ICS vendor-oriented and proprietary software nature. In this talk, we will focus on illustrating the vulnerability spectrum of ICS devices as well as on-going activities on how generalised vendor-independent solutions can be developed via real use cases in the context of the power, utilities and defence sectors.

Biography
Dr. Angelos K. Marnerides is an Asst. Professor of Cyber Physical Systems Security at the University of Cyprus, in the Department of Electrical & Computer Engineering and a faculty member leading activities in cybersecurity research at the KIOS Research and Innovation Centre of Excellence. Previously, he was a Assoc. Professor at the University of Glasgow (UofG), leading the Glasgow Cyber Defence Group and all the cybersecurity research activities across all research sections in the School of Computing Science at UofG. His research focuses on applied security and resilience for Internet-enabled cyber physical systems using data-driven approaches with focus on critical national infrastructures in various sectors including energy, defence, manufacturing and water utilities. Dr. Marnerides’ research has received significant funding in excess of €8M+ from the industry (e.g., Fujitsu, BAE, Raytheon, EDF), governmental bodies (e.g., EU, IUK, EPSRC) as well as UK national security and defence agencies (e.g., NCSC, GCHQ, MoD Dstl). Dr. Marnerides is currently the project coordinator for the €5.8M COCOON project funded by the EU Horizon Innovation Action (IA) being the first ever EU IA project coordinated by UCY KIOS and UCY in general. He is a malware detection patent author and has published extensively in top-tier IEEE/ACM conferences and journals. Moreover, he is a Senior Member (SMIEEE) of the IEEE and a member of the ACM since 2007. Dr. Marnerides has also played significant roles in various IEEE conferences, earning IEEE ComSoc contribution awards in 2016 and 2018. He obtained his PhD in Computer Science from Lancaster University in 2011 and has held lectureships and postdoctoral positions at institutions including Carnegie Mellon University, University of Porto, University College London, and Lancaster University.

End-to-end Cybersecurity
University of Helsinki University of Helsinki Mattinen, Miika

Mattinen, Miika
Postdoctoral researcher
University of Helsinki

Mattinen, Miika

Body
Two-Dimensional (2D) materials are among the top candidates for enabling continued scaling of microelectronics due to their atomically thin nature and attractive properties. Transition metal dichalcogenides (TMDCs) range from semiconductors to (semi)metals. Semiconducting TMDCs including MoS2 and WS2 have attracted attention for future nano(opto)electronics. Yet, deposition processes producing uniform, thickness-controlled films on wafers and three-dimensional structures required to fulfil these promises are lacking. Additionally, meeting the thermal budgets of different applications is a major challenge.ALD, a method already in widespread use in the semiconductor industry, can meet these requirements. In this talk, I will discuss both successes and remaining challenges in ALD of 2D materials. One direction we have pursued is broadening the process toolbox to include materials with higher band gaps (ZrS2, HfS2, SnS2) or metallic nature (e.g. TiS2). The flexible nature of TMDCs also make them an attractive choice for flexible electronics, yet deposition processes compatible with typical polymer substrates are lacking. I will discuss a record-low temperature plasma-enhanced ALD process tailored for this challenge.Beyond energy-efficient electronics, electrocatalysis is a key process in creating a sustainable future based on renewable energy. One promising application of TMDCs is in water splitting as an alternative to scarce and expensive platinum as the hydrogen evolution reaction (HER) catalyst in acid (proton exchange membrane electrolyzers). Beyond electronics, TMDC ALD processes can be used to synthesize both model catalysts for fundamental studies and industrially relevant, high-performance catalysts on high surface area supports that minimize the required catalyst loading.The overarching theme of my talk is the key role that ALD chemistry plays in realizing scalable synthesis of 2D materials for semiconductor as well as energy applications of the future

Biography
Dr. Miika Mattinen is a Research Council of Finland postdoctoral researcher in the HelsinkiALD group (University of Helsinki). He received his PhD from the University of Helsinki in 2020, followed by postdoctoral positions at Eindhoven University of Technology (2021-2022) and Stanford University (2022-2023) prior to returning to Helsinki. He has worked on ALD since 2014 and on 2D materials since 2016, exploring especially at semiconductor and electrolyzer applications among many other applications and materials. He has co-authored 41 peer-reviewed publications and three patents.

Materials Innovation
V To top
Volkswagen Group Components Volkswagen Group Components Blum, André

Blum, André
Project Manager, Progressive Semiconductor Program
Volkswagen Group Components

Blum, André

Body
Coming soon

Biography
Dr. André Blum joint Audi in 2004, starting as a developer for EMC capabilities of ECUs. In 2008 he finished his PhD work in electrical engineering (power electronics). In the following years he managed several projects and small teams in different production departments. Since the beginning of 2016 Dr. Blum is a team member of the Audi Semiconductor Strategy and VW Group OneTeam and works with semiconductor companies on a daily basis. Dr. Blum was promoted to Audi Management in 2015. Based on his long history within Audi, working in the product development as well as in the production & logistics and production planning divisions, Dr. Blum is an expert in automotive electronics as well as in industry 4.0 electronics.

Cultivating a Thriving SiC Market
VTT VTT Annamaa, Petteri

Annamaa, Petteri
6G hardware technology lead
VTT

Annamaa, Petteri

Body
Presentation on potential applications and areas of technology development for integrated photonics for 6G

Biography
Petteri is 6G Hardware Technology Lead at VTT with a passion in turning research into business. He looks for exceptional opportunities and creates strategic commercial partnerships. Petteri has a background in research, product development, engineering and business development both from VTT as well as from the information technology hardware, cyber security and automotive industry. Petteri holds a degree in Lic Tech in Telecommunications Electrical Engineering from University of Oulu.

III-V Summit – Integrated Photonics
VTT Technical Research Centre of Finland Ltd VTT Technical Research Centre of Finland Ltd Soukkamaki, Jussi

Soukkamaki, Jussi
Lead, Hyperspectral & Imaging Technologies
VTT Technical Research Centre of Finland Ltd

Soukkamaki, Jussi

Body
VTT has created an active hyperspectral imaging concept using spectrally tunable light source based on MEMS Fabry-Perot interferometer and supercontinuum laser. The proposed solution opens new possibilities in close and long range distances enabling detection even in the total darkness. Especially in the industrial lines, this new technology may enable hyperspectral market expansion from niche to machine vision mainstream.

Biography
Jussi Soukkamäki has over 20 years of experience in optoelectronics industry. During the last 12 years he has worked with spectroscopical applications in various positions from R&D to sales and business development in companies like Rikola Ltd, Senop, Timegate Instruments and Spectral Imaging Ltd.(SPECIM). Currently he works as a Lead, hyperspectral and imaging technologies, at VTT.

MEMS & Imaging Summit
Y To top
Yole Group Yole Group Damianos, Dimitrios

Damianos, Dimitrios
Project Manager
Yole Group

Damianos, Dimitrios

Body
The "MEMS & Imaging Young Talent" session is dedicated to showcasing the next generation of innovators in the field of MEMS and imaging sensors. As these technologies continue to revolutionize industries ranging from consumer to automotive, healthcare and elsewhere, nurturing young talent is essential for sustaining innovation and growth.In this session, we will spotlight emerging researchers and engineers who are pushing the boundaries of MEMS and imaging technologies. These young professionals bring fresh perspectives and novel approaches to longstanding challenges, offering new solutions that could shape the future of the industry. Their work spans cutting-edge developments in sensor miniaturization, advanced fabrication techniques, and enhanced imaging capabilities, reflecting the dynamic and interdisciplinary nature of this field.Join this session as it is an opportunity not only to celebrate the achievements of young talent but also to foster connections that will drive future collaborations and innovations.

Biography
Dimitrios Damianos, Ph.D., is a Project Manager in the Consulting Services Division at Yole Group. He manages transverse consulting projects, ensuring their quality and maintaining long term relationships with key accounts. Dimitrios also plays a key role in the expansion of Yole’s market & technical knowledge and supports the development of strategic projects following the company’s leading customers within the semiconductor industry. He holds a BSc in Physics and MSc in Photonics, both from the University of Patras (GR), as well as a PhD. in optics & microelectronics from the University of Grenoble-Alpes (FR).

MEMS & Imaging Summit