,

,

Body
Critical Infrastructure Systems (CIS) composing Critical National Infrastructures (CNIs) enabling sectors such as power, manufacturing, nuclear, defence, space and transport are underpinned by Industrial Control Systems (ICS) that have recently been exposed to the Internet and the Internet-of-Things (IoT) technologies by virtue of urging business models. Evidently, this relatively recent interface of such traditionally isolated setups with the IoT has resulted to a rapid surge of sophisticated and targeted Advanced Persistent Threats (APTs) causing significant safety as well as monetary effects on a global scale. Such attack vectors are stealthy, and they target hardware and logical processes that are typically resource-constrained and unprotected. Moreover, they are used frequently in several malicious cyber operations such as nation-sponsored cyberwarfare and cybercrimes. Therefore, a great challenge and need exists on developing and evaluating defence and mitigation mechanisms within realistic setups that also adhere to ICS vendor-oriented and proprietary software nature. In this talk, we will focus on illustrating the vulnerability spectrum of ICS devices as well as on-going activities on how generalised vendor-independent solutions can be developed via real use cases in the context of the power, utilities and defence sectors.

Biography
Dr. Angelos K. Marnerides is an Asst. Professor of Cyber Physical Systems Security at the University of Cyprus, in the Department of Electrical & Computer Engineering and a faculty member leading activities in cybersecurity research at the KIOS Research and Innovation Centre of Excellence. Previously, he was a Assoc. Professor at the University of Glasgow (UofG), leading the Glasgow Cyber Defence Group and all the cybersecurity research activities across all research sections in the School of Computing Science at UofG. His research focuses on applied security and resilience for Internet-enabled cyber physical systems using data-driven approaches with focus on critical national infrastructures in various sectors including energy, defence, manufacturing and water utilities. Dr. Marnerides’ research has received significant funding in excess of €8M+ from the industry (e.g., Fujitsu, BAE, Raytheon, EDF), governmental bodies (e.g., EU, IUK, EPSRC) as well as UK national security and defence agencies (e.g., NCSC, GCHQ, MoD Dstl). Dr. Marnerides is currently the project coordinator for the €5.8M COCOON project funded by the EU Horizon Innovation Action (IA) being the first ever EU IA project coordinated by UCY KIOS and UCY in general. He is a malware detection patent author and has published extensively in top-tier IEEE/ACM conferences and journals. Moreover, he is a Senior Member (SMIEEE) of the IEEE and a member of the ACM since 2007. Dr. Marnerides has also played significant roles in various IEEE conferences, earning IEEE ComSoc contribution awards in 2016 and 2018. He obtained his PhD in Computer Science from Lancaster University in 2011 and has held lectureships and postdoctoral positions at institutions including Carnegie Mellon University, University of Porto, University College London, and Lancaster University.

MEMS & Imaging Summit
CxO Summit
Advanced Packaging Conference
Fab Management Forum
ITF Chip into the Future
European Projects for a Diverse Talent Pipeline
EU Digital Forum
End-to-end Cybersecurity
A To top
A.M. Fitzgerald & Associates, LLC A.M. Fitzgerald & Associates, LLC Fitzgerald, Alissa

Fitzgerald, Alissa
CEO
A.M. Fitzgerald & Associates, LLC

Fitzgerald, Alissa

Body
End uses for MEMS are expanding into new areas beyond consumer electronics. These include ADAS and autonomous piloting systems, microfluidics for genomic analysis, portable ultrasonic devices, metaverse applications, and environmental monitoring.In view of these increasingly demanding MEMS applications for which high precision, robustness and small size are essential, new device architectures are emerging, particularly the use of piezoelectric thin films.Drawing from her company’s product development work, as well as from her view of current trends in MEMS and sensor R&D, Dr. Fitzgerald will provide a perspective on emerging MEMS architectures and materials for near-term business and growth opportunities.

Biography
Alissa M. Fitzgerald, PhD, has 30+ years of experience in MEMS design, fabrication methods, multiphysics simulation, and product development. She has personally developed over a dozen distinct MEMS devices such as piezoresistive cantilevers, pressure sensors, ultrasound transducers, and infrared imaging arrays, and she holds 11 US patents.Dr. Fitzgerald advises clients on the entire cycle of microelectronic product development, from business and IP strategy to supply chain and manufacturing operations. In addition to her primary focus on industrial and commercial activities, she continues to engage in collaborative scientific research, serve on academic conference abstract review committees, participate in peer reviewed academic journal articles, and offer guest lectures at academic institutions such as UC Berkeley and Stanford University.Dr. Fitzgerald is a member of the SEMI-MSIG Standards Committee and served as a board director on the MEMS Industry Group (MIG) Governing Council from 2008-2014. In 2013, she was inducted into the MIG Hall of Fame. She serves as a board director for Rigetti Computing (NASDAQ:RGTI), a full stack quantum computing company. Dr. Fitzgerald received her bachelor's and master's degrees from MIT and her PhD from Stanford University in Aeronautics and Astronautics.

MEMS & Imaging Summit
Amkor Technology Inc Amkor Technology Inc Kweon, YoungDo

Kweon, YoungDo
Sr Director of Chiplets FCBGA Development
Amkor Technology Inc

Kweon, YoungDo

Body
Chiplet-based packaging has several benefits, such as yield enhancement through logic die partitioning, system form factor reduction, and on-time to market through heterogeneous integration. However, chiplet-based packaging requires an optimized interconnection among semiconductor device dies for high bandwidth, low latency, and low power in the small form factor as advanced chiplet-based packaging. Thus, there are several challenges, such as PDN (Power Distribution Network) optimization, TDP (Thermal Dissipation Path) creation, and thermal stress minimization in the complexed chiplet-based heterogeneous integration. To solve these challenges, it needs to consider power delivery optimization while managing thermal dissipation.Amkor can support an efficient thermal dissipated chiplet-based packaging solution to succeed customers business. As known, semiconductor IC (Intergrated Circuits) power densities are still increasing yearly; moving to high performance colling systems, such as boiling liquid carries away heat generated by computer servers. Based on this kind of advanced cooling method at Data Center, packaging thermal resistance portion of ΘJA is increased. Thus, it is important to make a better thermal performed FCBGA packages with TIM (Thermal Interfacial Materials) of Data Center application, and advanced chiplet-based packaging requires higher thermal dissipation performance continuously. For example, polymer TIMs have a limit to perform a low ΘJC on the thermal dissipation requirement because of a higher interfacial thermal resistance as TIM I. Therefore, advanced chiplet-based heterogeneous integrated FCBGA with Indium alloy TIM is one of solution because there are many positive customers experimental data. This means that Indium alloy TIM as TIM I could provide 2x longer semiconductor device lifetime relatively. In addition, a molded FCBGA can support to create a new thermal dissipation path and to reduce semiconductor device ILD (Interlayer Dielectric) thermal stress for advanced 2.5D and 3D chiplet-based heterogeneous integrated packages.

Biography
Mr. YoungDo Kweon is currently working for Amkor Technology HQ in USA as R&D program manager. He has been working in development of several packages and assembly new platforms since 1988. Recently, he is focusing on higher thermal dissipation solutions of advanced chiplet-based heterogeneous integrated FCBGA packaging.Mr. Kweon received BS degree in Metallurgical Engineering from Hanyang University in 1987, MS in Semiconductor Engineering from Samsung Semiconductor Technology Institute in 1995, and MS with CALCE Electronics Package Research Center in Mechanical Engineering from University of Maryland at College Park in 1998. He has held several patents and published papers.

Advanced Packaging Conference
ams-OSRAM International GmbH ams-OSRAM International GmbH Arzberger, Markus

Arzberger, Markus
Senior Director
ams-OSRAM International GmbH

Arzberger, Markus

Body
It started with step counting. Activity tracker wristbands first brought the concept of daily lifestyle monitoring to public attention: the early adopters rapidly acquired the habit of counting the number of steps they walked each day – and of sharing their count with friends, family and colleagues. The capabilities of the first wearable devices were limited. Thanks to massive improvements in the performance of the components that enable activity measurement, products such as smart watches, smart rings and smart wristbands on the market today can measure far more physical parameters and measure them much more accurately.The adoption of wearable technology has given rise to the idea of the ‘quantified self’. This marks a profound shift in the way people think about personal health. Previously, people could follow a set of guidelines or principles that were associated with good health outcomes. This advice generally revolved around healthy eating, taking regular exercise, sleeping well and so on. People could take occasional health checks at a clinic, but there was no way for a person to measure continuously the effect of their lifestyle on their health.The introduction of wearable devices offered the promise of more information and created a demand from consumers to know more about their health status in real-time: actual measurements that show whether their lifestyle is making them either more or less healthy. This is part of a trend, supported by the increased use of data analytics, artificial intelligence and other advanced technologies in western healthcare systems, called ‘4P healthcare’: participative, predictive, preventive and personalized.The key measurements of health are the vital signs that medical practitioners have long relied on: factors such as heart rate, blood oxygen saturation (SpO2), blood pressure, body temperature, and heart activity as measured electrically by an electrocardiogram (ECG). Thanks to sophisticated optical and electrical semiconductor systems and software from ams OSRAM and others, wearable devices can perform these vital sign measurements well – in some cases, almost as accurately as the specialist equipment in hospitals. We will show the recent developments of the sensors and sensor components enabling this progress.

Biography
Markus Arzberger is Senior Director and Head of the Automotive and Vital Signs Product Line at ams OSRAM. He has more than 20 years of experience in product and business development in the optoelectronic industry. Markus is passionate about understanding customer needs and solving them by generating new solutions that take advantage of leading-edge optoelectronics technologies. He holds a Ph.D. in Physics from the Technical University of Munich, with research focusing on low-dimensional semiconductor physics including laser devices.

MEMS & Imaging Summit
ams-OSRAM International GmbH ams-OSRAM International GmbH Lex, Wolfgang

Lex, Wolfgang
Senior Vice President Mobility & Illumination
ams-OSRAM International GmbH

Lex, Wolfgang

Body
Since 40 years the photonic developments have made huge progress from simple indicator lamps up to now LED in pixelated Headlamps and Stadium illumination. This disruptive change from incandescent lamps to solid state technologies was a result of very constructive collaboration between system providers, Semicon industries and end customers. Meanwhile, penetration of traditional light sources is more or less done. New photonics applications are on the horizon which will continue to change our way of life. Photonics journey just began.

Biography
Wolfgang Lex is Senior Vice President of the Business Line OS Mobility & Illumination at ams OSRAM. He has 40 years of experience in the semiconductor and automotive industry. He started his career at SIEMENS Semiconductor and joined OSRAM in 2002. Wolfgang Lex has held various management functions worldwide with a strong focus on automotive.

Fab Management Forum
ASM ASM Arcamone, Julien

Arcamone, Julien
Vice-President of Corporate R&D
ASM

Arcamone, Julien

Body
As Europe strives to assert its leadership in the global digital economy, the semiconductor industry plays a vital role in driving innovation, sustainability, and growth.Advanced materials and deposition processes are the foundation for electrification & digitalization in a sustainable manner as part of the EU twin green & digital transition.The presentation will discuss how ASM’s technological innovations in Europe and globally are enabling growth through materials innovation, and will also highlight the necessity to collaborate along the electronics value chain as well as through public private partnerships.

Biography
Since 2023, Julien Arcamone is the Vice-President of the Corporate R&D of ASM, the leading semiconductor equipment supplier in ALD and Epitaxy. Based in Leuven at imec, he leads teams in Belgium and Finland that develop ASM’s novel ALD and epi processes that will enable future advanced logic and memory devices.Prior to that, he was 15 years with CEA-Leti, where he started as staff research scientist in NEMS. Then he held several positions, notably VP of Business Development for Asia, his last one with Leti being Head of the Connectivity & Computing Devices Department. In that position, he managed R&D teams dedicated to active RF and quantum devices, advanced CMOS and memories devices, and their related advanced computing approaches (Edge AI, In-Memory Computing), as well as the teams in charge of developing 3D integration technologies.He graduated in 2003 from INSA Lyon in Materials Engineering, with a focus on semiconductor materials & devices. Then, he received a PhD in Electronic Engineering in 2007 from the Autonomous University of Barcelona (Spain), and the HDR (Habilitation à Diriger des Recherches) from Grenoble-Alpes University in 2017. He is an IEEE Senior Member, and was part of IEEE MEMS conference’s TPC in 2016 and 2017. Dr. Arcamone has authored or co-authored more than 80 peer-reviewed scientific publications and 1 book, and is the co-inventor of 9 patents.

ITF Chip into the Future
ASML ASML Reijmer, Aernout

Reijmer, Aernout
Chief Security Officer
ASML

Reijmer, Aernout

Body
Coming Soon

Biography
•As CISO at ASML, Aernout implemented significant security capabilities for ASML (including a 10 fold increase in investments and even more for organization) in the domain of Information, IT, Human and Physical Security.•Executed for 8 consecutive years the Security Roadmap, running a portfolio of security projects, working away a historic backlog and achieving decent maturity level.•Spearheaded SIA’s and SEMICON CISO workgroup (US), the same for multinationals in NL, with the NL CISO Circle of Trust.•Became CISO of BT Global Services at the early age of 33 through a track record of solid execution and delivery.•While at BT, responsible for global security outside the UK. Brought security maturity to operational excellence level in 30 (mostly newly acquired) entities

End-to-end Cybersecurity
AVL Yazar, Musa Nurullah

Yazar, Musa Nurullah
Research Programs Lead Engineer
AVL

Body
In recent years, advancements in artificial intelligence, particularly in deep learning, have enabled the development of autonomous driving software systems that allow vehicles to perceive and interpret dynamic environments at a human-like level and make their own decisions. In the near future, with the widespread adoption of high-security and high-speed network systems, vehicles are expected to gain the ability to communicate with each other and their surroundings. This will transform vehicles into collaborative systems that provide safer and more economical driving experiences. The AI-based systems used in autonomous driving software are expected to be explainable, transparent, reliable, and compliant with standards.This study presents a five-layer autonomous driving software architecture that shows morphological and functional similarities to the V-model software architecture. The aim is to ensure that this software architecture meets the aforementioned requirements within the automotive industry. Additionally, the role of explainable artificial intelligence (xAI) and deep learning methods, developed in recent years, within the relevant layers of the autonomous driving software architecture and their relationships with other layers are presented in detail.

Biography
Musa Nurullah Yazar began his career at AVL Türkiye as an Autonomous Driving Engineer and is currently serving as the Research Programs Lead Engineer. He earned his PhD in Control and Automation Engineering from Istanbul Technical University in 2018.Musa's professional experience is diverse. He co-founded a startup company called OnitSistem, where they developed a smart research guide software that provides a collaborative workspace for researchers. He also served as an Assistant Professor at the Department of Electronics Engineering at the National Defense University, where his research focused on the dynamical modeling and simulation of robotic systems, particularly unmanned aerial vehicles.In his current role at AVL Türkiye, Musa coordinates autonomous driving research projects and supports R&D project proposals aimed at developing novel methods for ADAS and autonomous driving functions.

EU Digital Forum
B To top
BMW Group BMW Group Wittmann, Jürgen

Wittmann, Jürgen
Head of Innovation, Virtual Factory, Virtual Commissioning at BMW Group Production
BMW Group

Wittmann, Jürgen

Body
In a world shaped by globalization, sustainability, and digitalization, industries face the challenge of adapting to rapid changes and escalating consumer demands, leading to shorter development cycles and increasingly complex system landscapes. BMW has responded to these challenges by not only adapting but also redefining the future through the digitization of its vehicle factories as well as the virtualization of its factory planning.BMW's digitization initiative has thoroughly documented all vehicle production facilities using 3D scanning technologies, creating a digital repository with panoramic images and point clouds. This repository, utilized by over 37,000 users, supports diverse use cases such as virtual factory tours, precise measurements, and data exports for external collaboration, enabling seamless global interaction.Further, BMW has developed a platform for virtual and collaborative 3D production planning. This platform, integrating 3D data from various source systems, serves as a centralized visualization tool that constructs detailed virtual factories. It is set to become an indispensable tool for planners and viewers, promoting efficient, real-time collaborative planning and early virtual validation within the context of the entire virtual factory. Thereby it enhances the decision-making processes and minimizes the reliance on physical prototypes.This shift from static digital archives often in 2D to dynamic, collaborative 3D planning environments highlights the transformative role of virtual factories on production planning and operational efficiency. Through these innovations, BMW is setting new benchmarks in manufacturing excellence and sustainability.

Biography
Jürgen Wittmann has held various functions in R&D, production, and finance at the BMW Group. Since 2021, he has been the Head of Innovation, Virtual Factory, Virtual Commissioning, and Digital Twin at BMW Group production. In this role, he oversees the innovation management as well as the development of the virtual factory within the production department.

Fab Management Forum
Bosch Sensortec Finkbeiner, Stefan

Finkbeiner, Stefan
Generalmanager
Bosch Sensortec

Body
Smart and tiny sensors are catalysts for addressing major modern challenges like enhancing environmental health and elevating human well-being.The rapid development of hearables and wearables promise entirely new fields of applications improving the user’s well-being and lifestyle by integrating many different functions in multiple connected devices. MEMS sensors play a crucial role in the realization of such innovative devices. The presentation shows how cutting-edge sensor technology enables innovative devices for advanced use-cases. There will be a special focus on connected devices and the extended usage of algorithms on the sensor which enable even more complex applications. This leads to completely new use-cases such as full-body motion tracking, indoor navigation and air quality tracking.The examples underscore the synergy between MEMS sensors and smart algorithms, unlocking vast potential across diverse fields. The presentation concludes with an outlook on innovative use cases ahead.

Biography
Dr. Stefan Finkbeiner has been CEO and General Manager at Bosch Sensortec GmbH since 2012.In 2015, Dr. Finkbeiner was awarded with the prestigious lifetime achievement award from the MEMS & Sensors Industry Group. In 2016, 2022 and 2023 Dr. Finkbeiner has been elected Manager of the Year by the Markt & Technik Magazine.He joined Robert Bosch GmbH in 1995 and has been working in different positions related to the research, development, manufacturing, and marketing of sensors for more than 20 years. Senior positions at Bosch have included Director of Marketing for sensors, Director of Corporate Research in microsystems technology, and Vice President of Engineering for sensors.Dr. Stefan Finkbeiner received his Diploma in Physics from University of Karlsruhe in 1992. He then studied at the Max-Planck-Institute in Stuttgart and there received his PhD in Physics in 1995. He was born in 1966 in Freudenstadt, Germany.

MEMS & Imaging Summit
C To top
CEA-Leti CEA-Leti Dauvé, Sébastien

Dauvé, Sébastien
CEO
CEA-Leti

Dauvé, Sébastien

Body
Coming Soon

Biography
Sébastien Dauvé was named CEO of CEA-Leti effective on July 1, 2021, after more than twenty years of experience in microelectronics technologies and their applications, including clean mobility, medicine of the future, cybersecurity, and power electronics.Sébastien Dauvé started his career at the French Armament Electronics Center, where he worked on developing synthetic-aperture radar. In 2003, he joined CEA-Leti as an industrial transfer manager and supervised several joint research laboratories, in particular with the multinational Michelin.In 2007, Sébastien Dauvé became a laboratory manager, then head of an R&D department in the area of sensors applied to the Internet of things and electric mobility. During this time, he supported the dissemination of new technologies in industry, including the automotive industry (Renault), aeronautics, national defense (SAFRAN), and microchips with the industry leader Intel. He played an active role in the creation of start-ups in application fields ranging from health to infrastructure security, leading to dozens of new jobs. In 2016, he became Director of the CEA-Leti Systems Division.From sensors to wireless communication, Sébastien Dauvé has played an active role in the digital transformation, focused on coupling energy frugality and performance. He has made cross-disciplinary approaches central to innovation by harnessing the expertise of talented teams with diverse backgrounds. Their goal is to provide technological tools for meeting the major societal challenges of the future.Sébastien Dauvé is a graduate of the French Ecole Polytechnique and the National Higher French Institute of Aeronautics and Space (ISAE-SUPAERO).

ITF Chip into the Future
CEA LETI Berger, Pierre Damien

Berger, Pierre Damien
MEMS Industrial Partnerships Manager
CEA LETI

Body
session chair

Biography
session chair

MEMS & Imaging Summit
Chip Integration Technology Center (CITC) Smits, Edsger

Smits, Edsger
Program Manager
Chip Integration Technology Center (CITC)

Body
Fan-out wafer level packaging (FO-WLP) is a well-established technology, enabling high-resolution redistribution layers and complex electrical routing. An emerging variation, fan-out panel level packaging (FO-PLP), offers further advantages in processing area and cost. Scaling FO-PLP to larger areas reduces production costs per product, making it appealing for diverse applications. However, FO-WLP and FO-PLP face challenges in discrete power devices. Power devices, like silicon and silicon carbide diodes and MOSFETs, rely on vertical geometries, necessitating high-performance vertical interconnects through the molding compound (TMV). While fan-out technologies show promise—lower RdsON, improved thermal dissipation, and compatibility with thinner dies—commercial adoption lags due to higher package costs.We present CSAP technology: Competitive and Sustainable Advanced Packaging . This technology merges advanced packaging processes with printed electronics. CSAP replaces conventional compression molding with laser-drilled vias by a cost-effective, fully additive printing processes. In our proof-of-concept work, we create packages for silicon MOSFET dies and initial electrical results demonstrate the viability of this innovative approach. In particular, the clip and vertical contacts are realized by printing the vias and the seed layer. An electroplating process is used to grow a thicker layer of Cu to ensure good electrical and thermal conductivity. The seed layer is printed into the desired shape and added only at the locations where metallization is required, thereby reducing the material use in the fabrication process.By quantifying the package costs using a model, we demonstrate that CSAP significantly reduces packaging costs compared to traditional Fan-Out Panel Level Packaging (FO-PLP), making it an attractive technology option for devices that require feature size larger than 60 um.

Biography
Edsger Smits received his Ph.D. from the University of Groningen in the field of organic electronics. He joined TNO Holst Centre working oxide based thin film transistors for displays, flexible and stretchable sensors and electronics for bio-medical applications. He currently leads the Power Packaging activities at CITC. Topics of interests include mini and micro led dispays, laser transfer, flexible and stretchable electronics and chip packaging.

Advanced Packaging Conference
Chips Joint Undertaking (Chips JU) Chips Joint Undertaking (Chips JU) Kinaret, Jari

Kinaret, Jari
Executive Director
Chips Joint Undertaking (Chips JU)

Kinaret, Jari

Body
Coming Soon

Biography
Jari Kinaret was born in Finland and holds M.Sc. degrees in Theoretical Physics and Electrical Engineering from the University of Oulu in 1986 and 1987, respectively, and a Ph.D. in Physics from the Massachusetts Institute of Technology (MIT) in 1992.Prof. Kinaret has worked in various roles at research institutes and universities in Copenhagen, Denmark, and Gothenburg, Sweden. From 2013 to 2023, he served as the Director of the Graphene Flagship, a one-billion-euro research project dedicated to exploring the potential of graphene.In October 2023, Prof. Jari Kinaret assumed the role of Executive Director at Chips Joint Undertaking (Chips JU), a European public-private partnership that supports research, development, innovation, and future manufacturing capacities in the European semiconductor ecosystem.

ITF Chip into the Future
CNR IMM CNR IMM La Via, Francesco

La Via, Francesco
Research Director
CNR IMM

La Via, Francesco

Body
Coming Soon

Biography
Francesco La Via was born in Catania, Italy, in September 1961. He received the M.S. degree in physics from Catania University, Catania, Italy, in 1985. From 1985 to 1990, he had a fellowship at STM, Catania. In 1990, he joined the CNR IMM in Catania as a researcher. During this time, he was a Visiting Scientist at Philips NatLab, Eindhoven, The Netherlands. In 2001 he became senior researcher of the CNR IMM and he is responsible of the research group that work on the new metallization schemes for silicon and silicon carbide. From 2003 he is responsible of the division of CNR-IMM that developed new processes for silicon carbide epitaxy and hetero-epitaxy. From 2020 he become Research Director. He is responsible of several industrial research projects and coordinator of two European projects: CHALLENGE (http://h2020challenge.eu/) and SiC Nano for picoGeo (http://picogeo.eu/). In this period, he has published more than 350 papers on JCR journals and 4 edited books. He has presented several invited contributions to international conferences and has organized several conferences and tutorials. He has 6 patents on SiC technology and growth. The main research interests are in the field of silicon carbide growth, power devices, detectors and MEMS.

ITF Chip into the Future
CNRS-Grenoble INP-Sinano Institute CNRS-Grenoble INP-Sinano Institute Balestra, Francis

Balestra, Francis
Director of Research
CNRS-Grenoble INP-Sinano Institute

Balestra, Francis

Body
This presentation will deal with the ICOS CSA project dedicated to International Cooperation On Semiconductors. International cooperation is key for speeding up technological innovation, reducing cost by avoiding duplicated research, boosting the resilience of the semiconductor value and supply chains, and is one of the objectives of the EU Chips Act. The objectives and first important ICOS results will be highlighted, including the analysis of the semiconductor economic and technological landscapes in Europe and leading semiconductor countries, the identification of areas for potential cooperation and the proposition of opportunities for bilateral or multilateral research collaborations, particularly in the areas of advanced functionalities and computing.

Biography
BALESTRA Francis, CNRS Research Director at CROMA, is Director Emeritus of the European SiNANO Institute and President of IEEE Electron Device Society France, and has been Director of several Research labs. He coordinated many European Projects (ICOS, NEREID, NANOFUNCTION, NANOSIL, etc.) that have represented unprecedented collaborations in Europe in the field of Nanoelectronics. He founded and organized many international Conferences, and has co-authored more than 500 publications. He is member of several European Scientific Councils, of the Advisory Committees of International Journals and of the IRDS (International Roadmap for Devices and Systems) International Roadmap Committee as representative of Europe.

EU Digital Forum
Comet Group Comet Group Haferl, Stephan

Haferl, Stephan
Chief Executive Officer
Comet Group

Haferl, Stephan

Body
Coming Soon

Biography
Born 1972, Swiss and Norwegian citizen; Master's degree in mechanical and process engineering from the Swiss Federal Institute of Technology (ETH), Zurich, PhD with work on thermodynamics and fluid dynamics.Stephan Haferl has been working for the Comet Group successfully in various management positions since 2007. His strong track record includes proven performance in business development, innovation, technology, and product management, among other areas.Before, he held the positions of General Manager at Bartec-Meta Physics SA and Chief Operating Officer at Bartec Bacab SA.

CxO Summit
Comet Yxlon Comet Yxlon Drolz, Isabella

Drolz, Isabella
Vice President Product Marketing
Comet Yxlon

Drolz, Isabella

Body
Coming Soon

Biography
Isabella Drolz is the Vice President Product Marketing at Comet Yxlon, which is the industrial X-ray & CT inspection system division of Comet. Comet Yxlon provides X-ray & CT inspection solutions for R&D labs & production environments, especially for Semiconductor customers to enhance their productivity. In her role, she is responsible for product management, business development, global application solution centers, and marketing at Comet Yxlon. Isabella has next to her industrial engineering education, a Bachelor of Science in International Business Administration, and an MBA degree from Southern Nazarene University in Oklahoma City, USA. She has held several management positions in the mechanical and plant engineering industry driving market-oriented product development.

Advanced Packaging Conference
D To top
Dr. Ing. h.c. F. Porsche AG Dr. Ing. h.c. F. Porsche AG Frenkel, Barbara

Frenkel, Barbara
Member of the Executive Board Purchase
Dr. Ing. h.c. F. Porsche AG

Frenkel, Barbara

Body
Coming Soon

Biography
1963 Born in Hof (Saale), Germany1982 A-Level Certificate of education (Abitur) in Hof 1982 Studies in chemistry at Bayreuth University and rubber technology at Hannover University1984 Various posts in development, production, sales and quality assurance, Helsa-Werke, Gefrees1997 Quality Auditor, Valeo Thermal Systems, Rodach 1999 Manager Supplier Development Europe, TRW Automotive, Alfdorf2001 Head of Quality Systems and Methods of Dr. Ing. h.c. F. Porsche AG2006 Head of Central Training of Dr. Ing. h.c. F. Porsche AG 2013 Head of Sales Network Management & Development of Dr. Ing. h.c. F. Porsche AG 2017 Head of Region Europe of Dr. Ing. h.c. F. Porsche AG 2019 Member of the Supervisory Board of Dr. Ing. h.c. F. Porsche AG 2021 Member of the Executive Board Purchases of Dr. Ing. h.c. F. Porsche AG

CxO Summit
E To top
EudaOrg EudaOrg Maguire, Nessa

Maguire, Nessa
CEO
EudaOrg

Maguire, Nessa

Body
Not applicable

Biography
Nessa is the CEO of EudaOrg. Nessa holds a Masters in Applied Positive Psychology and Coaching Psychology, with a strong research interest in building inclusive cultures. A published researcher, Nessa has fifteen years international experience advising private companies and government bodies in supporting diverse needs across education and the private sector. EudaOrg was established in 2021 by psychologists and experts in organisational change Nessa Maguire and Allison Kuschel, to provide technology and advisory services in diversity, equity, and inclusion to commercial companies and sectoral bodies.

European Projects for a Diverse Talent Pipeline
EV Group EV Group Uhrmann, Thomas

Uhrmann, Thomas
Director Business Development
EV Group

Uhrmann, Thomas

Body
MEMS and sensors play a crucial role in many of today´s applications. As their complexity and integration continues to increase, innovative manufacturing technologies become essential to fulfil the requirements of next-generation applications. Wafer bonding is a key technology for MEMS and sensors encapsulation but also for advances in system integration. This presentation will discuss the latest developments in high-vacuum oxide-free wafer bonding, a technology that enables not only conductive bond interfaces but is also well suited for heterogenous material integration at low- or even room-temperature. In addition, recent innovations in high-volume 300 mm MEMS wafer bonding will be highlighted in this talk.

Biography
Dr. Thomas Uhrmann is director of business development at EV Group (EVG) where he is responsible for overseeing all aspects of EVG’s worldwide business development. Specifically, he is focused on 3D integration, MEMS, LEDs and a number of emerging markets. Prior to this role, Uhrmann was business development manager for 3D and Advanced Packaging as well as Compound Semiconductors and Si-based Power Devices at EV Group. He holds an engineering degree in mechatronics from the University of Applied Sciences in Regensburg and a PhD in semiconductor physics from Vienna University of Technology.

MEMS & Imaging Summit
EVG EVG Brandl, Elisabeth
Brandl, Elisabeth

Brandl, Elisabeth
Business Development Manager
EVG

Brandl, Elisabeth

Body
The innovations of chiplet integrations took interesting turns in the last few years as this platform promises high performance application system at low-cost and faster-to market solution in comparison to SoC integration. Several approaches for the modular chiplet implementation have been introduced, where performance requirements, cost considerations and scalability need differ. Although the chiplet integration on Si interposers is a very important technology, a trend towards the utilization of organic materials, for example in high density build up organic substrates can be observed.As in all advanced packaging technologies, form factor is crucial. Temporary bonding offers support for organic interposers during thinning to reduce the formfactor in z-direction and allow for better heat management as these organic interposers have limited heat dissipation capability.Already established chiplet packaging technologies like chip-first or RDL first FoWLP are still facing the manufacturing challenge of high warped wafers originating in the CTE difference of chiplets and mold. This challenge is also valid for high density build up organic substrates and needs to be addressed. There are two major approaches for handling high warpage wafers. Either the wafers are forced flat, which works with thinner, flexible organic wafers or the equipment must comply with the wafer warpage. The later approach is used with thick and stiff wafers and is linked to a higher process complexity. We will show the manufacturing considerations of each warpage handling approach with their advantages and challenges in respect to the temporary bonding, debonding and further downstream processes with process and equipment compatibility.In this presentation, we will also give a short overview on the different chiplet integration platforms with their advantages and challenges. In more detail the integration of organic materials as in RDL/ organic interposers will be shown and the equipment challenges, especially wafer warpage in temporary bonding and debonding equipment and possible solutions will be introduced.

Biography
Elisabeth Brandl is business development manager at EV Group for temporary bonding and metrology. She holds a Master degree (DI) in technical physics from the Johannes Kepler University Linz specialized on nanoscience and - technology.During her master thesis at the institute of semiconductor and solid state physics she gained experience for semiconductor processing and nanofabrication.

Advanced Packaging Conference
EYE4NIR EYE4NIR Ballabio, Andrea

Ballabio, Andrea
CEO
EYE4NIR

Ballabio, Andrea

Body
Short-wave infrared (SWIR) imaging and sensing sees an increase in commercial interest, given the wide range of use cases that are possible to implement, from automotive, to industrial automation, agri-food and many more. Silicon has an absorption cut-off at 1100nm in wavelength, therefore to detect SWIR other materials are needed. Germanium given its direct band gap of 0,8eV is a suitable material to be used to detect SWIR, however to exploit lower cost and potential scalability, epitaxial Ge-on-Si is considered to be used in devices. Ge-on-Si photodiodes have been firstly reported more than twenty years ago opening the way for the integration of IR photodetectors on Si. A tremendous development has been done, moving from vertically illuminated, stand-alone devices, to waveguide integrated arrays of photodetectors and CMOS integrated imagers. Usually, the Ge epilayer act as the absorbing material for the SWIR radiation, while Si acts only as a substrate. Here we report on a dual-band Ge-on-Si photodetector where light detection can take place both within the Ge epilayer and the underlying Si substrate: the device responsivity can thus be tuned from the VIS to the SWIR spectral range by means of an external bias. This principle of operation has been transferred into a CMOS process in order to fabricate CMOS image sensor, capable of detecting selectively visible and SWIR light. Sensing applications are also where the device was used to discriminate among different chemicals and plastics by exploiting the device spectral response in the two bands and the specific absorption spectra of the materials.

Biography
Andrea Ballabio graduated cum Laude in M. Sc. in Material Sciences (2014) at the University of Milano-Bicocca. He received his Ph.D. in Physics cum Laude (2018) from Politecnico di Milano working on optoelectronic and photonic applications. He as been a post-doc fellow at the Politecnico di Milano working on the growth and morphological characterization of germanium single-photon devices for SWIR applications. In 2021 co-founded EYE4NIR, where he is currently the CEO, with the aim to develop Ge based SWIR image sensors for the automotive and industrial markets.

MEMS & Imaging Summit
F To top
Flexciton Flexciton Potter, Jamie

Potter, Jamie
CEO & Cofounder
Flexciton

Potter, Jamie

Body
Coming Soon

Biography
Coming Soon

Fab Management Forum
Fraunhofer EMFT Fraunhofer EMFT Kutter, Christoph

Kutter, Christoph
Director of Fraunhofer EMFT
Fraunhofer EMFT

Kutter, Christoph

Body
Coming Soon

Biography
Christoph Kutter is director of Fraunhofer EMFT, an institute of the Research Fab Microelectronics Germany (FMD), of which he is currently co-spokesperson. He also holds a professorship specializing in solid-state technologies at the University of the Federal Armed Forces in Munich. His focus at Fraunhofer EMFT is on silicon technologies, MEMS, flexible electronics, biosystem integration and heterogeneous integration of various solid-state technologies.Christoph Kutter is currently Vice President of the VDE (Association for Electrical, Electronic & Information Technologies), a member of acatech (National Academy of Science and Engineering) and the BBAW (Berlin-Brandenburg Academy of Sciences BBAW).From 1995 to 2012, Christoph Kutter held various management positions at Infineon Technologies AG and Siemens AG, including Head of Communications Product Development, Head of Chip Card Development and Head of Central Research. Christoph Kutter was responsible for several central improvement projects to increase efficiency in research and development as well as for the management of the company-wide innovation initiative.From 1990 to 1995, Christoph Kutter worked as a research assistant at the High Magnetic Field Laboratory (Max Planck Institute for Solid State Physics) in Grenoble, France.Christoph Kutter received his Dipl. Phys. from the Technical University of Munich and his Dr. rer. nat. from the University of Constance in 1995.

ITF Chip into the Future
G To top
Guangdong Fenghua Semiconductor Technology Co., Ltd. Guangdong Fenghua Semiconductor Technology Co., Ltd. Tang, Qingyuan

Tang, Qingyuan
Vice General Manager
Guangdong Fenghua Semiconductor Technology Co., Ltd.

Tang, Qingyuan

Body
Gallium nitride (GaN) power devices have been widely used in the fields of fast charging with their high voltage and high frequency performance. However, high-power industrial applications such as motor control and inverters have still not been developed. Fan-out panel level packaging (FOPLP) is an attractive packaging technology which brings many benefits, such as low inductance, thin package height, and ease for multi-die integration. In order to give full play to the advantages of GaN power devices and expand the application of GaN in other aspects, it is important to improve the power density, reduce the parasitic inductance, and reliability of the packages.In this paper, Silicon (Si) chips and GaN field-effect transistors (FETs) were integrated into a quad flat no lead (QFN) package. The GaN FETs and Si chips were interconnected through re-distribution layer (RDL) Cu plating process and formed a half-bridge GaN module. Chip positioning, RDL layout and warpage behavior were optimized by package stress simulation. Thermal performance of different designs was studied by finite element analysis (FEA) tools. One watt heat dissipation was applied on the GaN chip to simulate package heat performance, and balancing of the heat dissipation was optimized by RDL design. In addition, thermal stress was optimized as well based on the Von Mises stress analysis on bumping area. Warpage and package stress on chips were minimized by tuning the package structure. A suitable Cu thickness was identified with consideration of current carrying capability and heat dissipation. The reliability performances including temperature cycling and high temperature accelerated test (HAST), were evaluated based on Joint Electron Device Engineering Council (JEDEC) requirements.By overcoming the technical challenges faced during the GaN FOPLP process, we successfully fabricated a small GaN half-bridge package with dimensions of 6mm×7mm×0.45 mm. By using only three layers of Cu RDL, the required electrical performance of the device was achieved, and the package warpage (46 micrometers) was controlled to minimize the mechanical stress. 30% of printed circuit board (PCB) area reduction was achieved by compared to the discrete package circuit layout.

Biography
Qingyuan Tang earned his Ph.D. from City University of Hong Kong, P.R. China, in 2011 in Electronic Engineering. After graduated, he worked in Sierra Wireless, Nexperia, and Facebook before for different technical positions. He is now working as a Leader in Guangdong Fenghua Semiconductor Technology Co., Ltd. for R&D management.

Advanced Packaging Conference
H To top
Henkel Farbos de Luzan, Pierre

Farbos de Luzan, Pierre
Head of Sustainability, Electronics
Henkel

Body
Henkel Adhesive Technologies aims to be the leader in sustainable adhesive solutions for the electronics industry, fostering climate action, circularity, and safety worldwide.Within our wirebond and advanced packaging solutions portfolio, we innovate to reduce greenhouse gas emissions through sustainable use of raw materials and enhanced adhesive efficiency.Moreover, we prioritize responsible chemistry, avoiding or replacing harmful substances to ensure a toxic-free product portfolio that benefits both people and the planet.At the keynote, Pierre Farbos de Luzan, Head of Sustainability at Henkel Electronics, will discuss Henkel's ongoing efforts, solutions, and challenges in promoting sustainability in semiconductor packaging.

Biography
Pierre is the Head of Sustainability for global Electronics business at Henkel, leading the development and implementation of sustainability strategies with the aim to deliver innovative and sustainable solutions for climate action, circularity and safety.Prior to that, he was driving the development of sustainability services for Accenture across APAC, Middle-East and Latin America regions, delivering complex and high-value projects for international clients across strategy, sustainability, supply chain and operations.Pierre holds a bachelor degree from HEC Montreal (Canada) and master degree from ESSEC Business School (France).

Advanced Packaging Conference
I To top
IBM IBM Kumar, Arvind

Kumar, Arvind
Principal RSM and Research Manager, AI Hardware Technologies
IBM

Kumar, Arvind

Body
The era of generative AI is accompanied by an unprecedented increase in compute, memory, and bandwidth requirements of AI workloads. Chiplet architectures and advanced packaging offer a promising path to meeting these demands through much more tightly integrated compute and memory units, enabling higher compute densities and bandwidths with lower latency and power. Heterogeneous integration coupled with open interface standards can also enable targeted architectures to accelerate specific use cases through co-packaging of diverse chiplets from different sources. I will discuss how IBM’s deep investments in AI and advanced packaging can lead to new opportunities through the emerging chiplet ecosystem.

Biography
Dr. Arvind Kumar is a Principal Researcher at the IBM Research where he leads a team focusing on next generation AI Hardware and heterogeneous integration. He has presented several invited talks and served as a panelist and short-course instructor in this area at major conferences. He holds over 60 patents and is an IBM Master Inventor. Dr. Kumar earned SB, SM, and PhD degrees in Electrical Engineering and Computer Science, all from MIT.

Advanced Packaging Conference
IMEC IMEC Marent, Katrien

Marent, Katrien
EVP & Chief Marketing and Communications Officer
imec

Marent, Katrien

Body
Coming Soon

Biography
Katrien has an engineering degree in microelectronics. She joined imec in 1992 as analog design engineer and specialized in design of low-noise readout electronics for high-energy physics. In 1999, she became press responsible and scientific editor at imec's business development division and was responsible for authoring and editing the research organization's numerous company technical documents and publications. In 2001, she was appointed corporate communications director at imec. Her responsibilities expanded in August 2007, when she got the position of external communications director including corporate, marketing and outreach communications. In October 2016, she became VP corporate, marketing and outreach communication. Since April 2020 she is Executive Vice President & Chief Marketing and Communications Officer and member of the executive board of imec.

ITF Chip into the Future
IMEC IMEC Van den hove, Luc

Van den hove, Luc
President & CEO
imec

Van den hove, Luc

Body
Coming Soon

Biography
Luc Van den hove is President and CEO of imec since July 1, 2009. Before he was executive vice president and chief operating officer. He joined imec in 1984, starting his research career in the field of silicide and interconnect technologies.In 1988, he became manager of imec’s micro-patterning group (lithography, dry etching); in 1996, department director of unit process step R&D; and in 1998, vice president of the silicon process and device technology division. In January 2007, he was appointed as imec's EVP & COO. Luc Van den hove received his PhD in electrical engineering from the KU Leuven, Belgium.In 2023, he was honored with the Robert N. Noyce medal for his leadership in creating a worldwide research ecosystem in nanoelectronics technology with applications ranging from high-performance computing to health.He has authored or co-authored more than 200 publications and conference contributions.

ITF Chip into the Future
CxO Summit
IMEC IMEC De Boeck, Jo

De Boeck, Jo
EVP & CSO
imec

De Boeck, Jo

Body
Coming Soon

Biography
Jo De Boeck received his engineering degree in 1986 and his PhD degree in 1991 from the University of Leuven. Since 1991 he is a staff member of imec (Leuven). He has been a NATO Science Fellow at Bellcore (USA, 1991-92) and AST-fellow in the Joint Research Center for Atom Technology (Japan, 1998).In his research career, he has been leading activities on integration of novel materials at device level and new functionalities at systems level. In 2003 he became Vice President at imec for the Microsystems division and in 2005 started Holst Centre (Eindhoven) as General Manager of imec the Netherlands.From 2010 he headed imec’s Smart Systems and Energy Technology Business Unit. He is part-time professor at the Engineering department of the KU Leuven and held a visiting professorship at the TU Delft, Kavli Institute for Nanoscience (2003–2016). In 2011 he became Chief Technology Officer and in 2018 he was appointed Chief Strategy Officer. He is member of imec’s Executive Board.

ITF Chip into the Future
IMEC IMEC Hoofman, Romano

Hoofman, Romano
Director imec.IC-link
imec

Hoofman, Romano

Body
Coming Soon

Biography
Romano Hoofman is Strategic Development Director at imec.IC-link since 2016. He is currently responsible for the innovation programs of the unit and for the coordination of the EUROPRACTICE Service.He started his career in industry, where he worked as a Principal Scientist at Philips Research and later on NXP Semiconductors. He covered many different R&D topics, ranging from CMOS integration, advanced packaging, thin film batteries, photovoltaics and (bio)sensors.Romano received his PhD from the Technical University of Delft in 2000, where he investigated charge transport in semi-conducting polymers. He has authored more than 30 publications and holds more than 10 patents in various research areas.

ITF Chip into the Future
IMEC Charley, Anne-Laure

Charley, Anne-Laure
R&D manager
imec

Body
New system architecture as well as continuous scaling are important pillars of advanced semiconductor research and development, and both bring new challenges to today’s metrology and inspection techniques. In parallel, improved process control comes with tighter specifications and therefore reduced metrology budget. Conventional metrology approaches cannot alone cope with this ever increasing demand for performance and a new approach has to be considered. This presentation will explore the importance of metrology solutions and what a realistic implementation could be to ensure the success of advanced semiconductor R&D efforts.

Biography
Anne-Laure Charley owns a PhD in physics of semiconductor from INPG (Institut national polytechnique de Grenoble, France) specialized in lithography and optic for semiconductor (graduated in 2006). She started her career as lithography R&D engineer at STMicroelectronics (France) and at Crocus Technology (San Jose, USA). She joined imec in 2008 as researcher in metrology for advanced patterning applications. She became R&D team leader in the field of CD metrology in 2015 and is now managing the inline metrology and inspection group in the advanced patterning department.

Fab Management Forum
IMEC IMEC Luo, Cheng-Jhih

Luo, Cheng-Jhih
R&D Engineer
IMEC

Luo, Cheng-Jhih

Body
The high-level objective of HiCONNECTS project is to support industrial challenges by developing heterogenous integration technology solutions for energy-efficient and high-performance cloud and edge computing. HiCONNECTS pilot lines aim at developing advanced photonic integrated circuits, the tasks involve high-speed optical interconnect, co-packaged optics, and heterogenous integration. In this presentation, we discuss the development of the pilot lines and show the challenges/breakthroughs.

Biography
Cheng-Jhih Luo is the R&D engineer of silicon photonics pathfinding at imec. His works focus on photonic component and micro-optical system design especially aim to advanced co-packaged optics for silicon photonics. He received Ph.D from National Chiao-Tung University Taiwan in 2019 and previously Cheng-Jhih worked as deputy project manager at ITRI for host several technical projects regarding photonic systems.

EU Digital Forum
INFICON INFICON Behnke, John

Behnke, John
General manager for Smart manufacturing
INFICON

Behnke, John

Body
Coming Soon

Biography
Mr. Behnke has 40 years of semiconductor industry experience including: logic and memory manufacturing, technology/product development and fab operational excellence. As the GM of Final Phase Systems an INFICON Product Line, John leads a team that develop and deploy SMART software solutions that enable fabs to improve their manufacturing efficiency. FPS’s suite of software solutions are built upon a common Datawarehouse which enables advanced Fab Scheduling and optimized WIP movement as well as other related capabilities. He is also a Co-Chair of the Semi North America Smart Manufacturing Special Interest Group. Prior to FPS John served as the CEO and President of Novati Technologies, the SVP and GM of the Semiconductor Group of Intermolecular, the CVP for Front End Manufacturing, Process R&D and Technology Transfers at Spansion and the Director of AMD’s Fab 25’s Engineering and Operations groups where he was a founding member of AMD’s Automated Precision Manufacturing (APM) initiative which led the Semiconductor industry’s development and use of APC and other advanced factory systems. He also led the successful conversion of Fab 25 from Logic to Flash memory which was enabled through the virtual automation of the fab.Mr. Behnke earned a B.S. degree in Mechanical Engineering with an Industrial Engineering Minor from Marquette University. Mr. Behnke holds five U.S. patents.

Fab Management Forum
INFICON HOLDING AG INFICON HOLDING AG Wyrsch, Oliver

Wyrsch, Oliver
President and Chief Executive Officer
INFICON HOLDING AG

Wyrsch, Oliver

Body
Coming Soon

Biography
Oliver Wyrsch became President and Chief Executive Officer of INFICON HOLDING AG on January 1, 2023.He joined INFICON in 2018 as President and General Manager of the US business.Mr. Wyrsch is a Swiss citizen and holds a Master's degree in Computer Science and Business Administration from the Swiss Federal Institute of Technology in Zurich (ETH). He began his career in 2004 as a management consultant at Accenture and Booz & Co before becoming Head of Engineering at a pharmaceutical-focused software startup. He then spent seven years at Mettler Toledo in Germany and the US in various roles - most recently as Head of the Machine Vision Inspection Strategic Business Unit.

CxO Summit
Infineon Technologies Infineon Technologies Boll, Michael

Boll, Michael
Vice President Public Policy
Infineon Technologies

Boll, Michael

Body
Coming Soon

Biography
Michael Boll is a seasoned professional with a strong background in law, economics, and public policy. Born near Münster, Germany, he pursued higher education in Economics and Law at the University of Münster, earning his First State Examination in 2000 and his Second State Examination in Law in 2003.Michael furthered his academic credentials by obtaining a Master's degree in International and European Business Law (LL.M.) from the University of Exeter in 2003-2004.Michael's professional journey began in the consulting sector, where he held various leadership positions at EUTOP and Gauly Advisors. His client base was mainly from the Tech and Financial sector. He served as Director at EUTOP from 2005 to 2014, followed by a stint as Senior Manager and Partner at Gauly Advisors from 2014 to 2017. He later rejoined EUTOP, taking on roles such as Director & Syndic of EUTOP International GmbH, Member of the EUTOP Asia Executive Board, and Member of the EUTOP Executive Board in Berlin from 2017 to 2020.In 2021, Michael brought his expertise to Infineon, a leading semiconductor company, as Vice President of Public Policy. In this role, he leverages his knowledge of law, economics, and public policy to drive strategic decision-making and advocacy efforts. Throughout his career, Michael has demonstrated a unique blend of academic rigor, professional expertise, and leadership acumen. His diverse experience in consulting, academia, and the corporate world has equipped him to navigate complex policy landscapes and drive meaningful impact.

ITF Chip into the Future
Infineon Technologies AG Infineon Technologies AG Pressel, Klaus

Pressel, Klaus
Assembly and Packaging
Infineon Technologies AG

Pressel, Klaus

Body
Coming Soon

Biography
Dr. Klaus Pressel studied Physics at the University of Würzburg and with a scholarship of the German DAAD at S.U.N.Y. Albany (New York, U.S.A.). Klaus received his PhD in Physics from the University of Stuttgart for research on point defects in III/V semiconductors. He then joined IHP Frankfurt (Oder), where he focused on Si CMOS and SiGe design and technology. In 2001 Klaus joined Infineon Technologies at Regensburg, where he is focusing on innovations in assembly and packaging technology. His special interests are System-in-Package solutions, high frequency applications, chip-package-board/system co-design, as well as understanding reliability and quality. Klaus has been project leader of many European funded projects, e.g. the recent ECSEL JU iRel40 project. Klaus is representing Infineon in various international technical committees, e.g. SEMI Advanced Packaging Conference, ESTC, the Eureka XECS program, IEEE Heterogeneous Integration Roadmap. Klaus is author/co-author of more than 200 publications in semiconductor physics and technology, circuit design, assembly and interconnect technology and owns/co-owns more than 20 patents.

Advanced Packaging Conference
Infineon Technologies Dresden GmbH & Co. KG Infineon Technologies Dresden GmbH & Co. KG Lindner, Franziska

Lindner, Franziska
Quality Manager
Infineon Technologies Dresden GmbH & Co. KG

Lindner, Franziska

Body
The growing scarcity of natural resources is one of today’s greatest global challenges. Optimizing the efficiency in the use of resources offers both ecological and economic benefits and is a key component in Infineon’s sustainability strategy worldwide. Hence, they are high priorities in the planning and construction of the Smart Power Fab in Dresden. This includes being the first Infineon fab to strive for “Leadership in Energy and Environmental Design” (LEED) – a sustainability certification used worldwide defining standards for environmentally friendly, resource-conserving and sustainable construction. LEED provides a framework for healthy, highly efficient, and cost-saving green buildings. With a LEED certification we demonstrate our commitment to both client satisfaction and promoting a more sustainable future by reducing our reliance on limited resources such as energy and water. The Smart Power Fab of Infineon will make a decisive contribution to driving climate protection and digitalization forward by setting new efficiency standards for the consumption of important resources. The investment in Dresden is part of the company’s strategy to reach CO2-neutrality by 2030.The Smart Power Fab is funded by the European Union, the Federal Republic of Germany and the Free State of Saxony. Sponsors: European Union, Federal Ministry for Economic Affairs and Climate Action on the basis of a resolution of the German Bundestag and the Saxon State Ministry for Economic Affairs, Labour and Transport.

Biography
Franziska Lindner is a Quality Manager at Infineon Dresden and coordinating sustainability measures in the design and construction of the new 300mm Smart Power Fab to produce analog/mixed-signal technologies and power semiconductors needed in automotive and renewable energy industries.After completing her Master of Science in biology 2014, Franziska Lindner started as scientific associate supporting international process transfers and cleanroom capacity expansion. As head of manufacturing and quality officer, she built up pharmaceutical clean room production processes from quality as well as production perspectives. Franziska Lindner was born in Dresden, Germany, in 1989.

Fab Management Forum
IoT Security Foundation IoT Security Foundation Moor, John

Moor, John
Managing Director
IoT Security Foundation

Moor, John

Body
This talk addresses the critical challenges of managing product cybersecurity throughout the lifecycle, and across applications. Aimed at designers, developers, and manufacturers with a blend of technical and management skills, the presentation will elucidate the multifaceted nature of IoT security challenges. It will emphasize the need for fit-for-purpose security that aligns with application requirements, regulatory compliance, and lifecycle management. The conclusion underscores the importance of a collaborative and evolving security methodology to address the dynamic challenges of IoT security, inviting all stakeholders to participate.

Biography
John Moor is co-founder and Managing Director of the IoT Security Foundation (IoTSF).He has over 30 years of experience in electronic systems and microelectronics industries and holds executive leadership and general manager responsibilities for IoTSF. Previously John served as a vice-president at the UK's National Microelectronics Institute (NMI) where he was tasked with formulating strategy and leading key innovation initiatives. Before NMI, John was one of the founders of Bristol-based start-up ClearSpeed Technology (formerly PixelFusion Ltd). During this time he led engineering operations at the vice-president level. He was responsible for technology acquisitions, establishing international supply chain operations and acquiring capability in the UK, USA and Taiwan.John holds an MA (Distinction) in Strategic Marketing Management from Kingston University London and a Master of Business Administration from the University of Leicester. John’s formative embedded systems engineering career centred on leading-edge microprocessor-based systems (substantially parallel systems) and used in data communications, high-performance computing, graphics and virtual reality applications.

End-to-end Cybersecurity
K To top
KLA Corporation KLA Corporation Springer, David

Springer, David
Product Manager, MVD and Release Etch Products
KLA Corporation

Springer, David

Body
Antistiction coatings are widely used in MEMS applications to improve device performance and enhance overall device lifetime. The most widely used chemicals are fluoropolymers like FDTS and FOTS, which are members of the large group of PFAS substances. MEMS integrators and manufacturers are increasingly seeking alternative antistiction coatings that do not use PFAS chemicals. The obvious solutions include hydrocarbon analogs of FDTS and FOTS, but these don’t have the required thermal or mechanical stability. This talk will discuss the various alternatives to FDTS, explore their strengths and weaknesses, and introduce a new proprietary fluorine free antistiction coating.

Biography
David Springer is a Product Manager at KLA in charge of MEMS applications of MVD coatings, and XeF2 release etch products. He joined SPTS in June of 2013 when SPTS acquired Xactix Inc. where he was President for 11 years. Previous to XACTIX, David was president of a design automation startup company and received his PhD. in Computer Engineering from Carnegie Mellon University.

MEMS & Imaging Summit
Koh Young Europe GmbH Koh Young Europe GmbH Lindloff, Axel

Lindloff, Axel
Senior Process Specialist Pre-Sales
Koh Young Europe GmbH

Lindloff, Axel

Body
Within the semiconductor packaging landscape, printing remains the preferred material transfer method due to its historical reliability and cost-effectiveness spanning millennia. In electronic industries, stencil printing is particularly favored for its prolonged usability of metal sheets in mass-production settings. The quality of stencil printing is intricately linked to various critical factors affecting material transfer efficiency, a pivotal consideration as print quality serves as the linchpin for subsequent processes. Rigorous control of print quality is instrumental in minimizing costs and defects. The standard for monitoring and controlling material transfer efficiency in automotive electronic production is 3D phase-shifting shadow Moiré metrology. Leveraging a comprehensive 3D reconstruction of the measurement object and its surroundings, this methodology allows for an exhaustive analysis of the surface condition of a sinter pad. Precise measurement and analysis of critical factors, including peaks, holes, height, and chip placement area slope, become possible. Given the sinter material's limitations, holes act as thermal isolators, creating operational hotspots, and peaks pose a risk for stress-induced cracks. Consequently, controlling surface conditions is imperative for mitigating premature failures. Effectively controlling surface conditions requires specialized algorithms. In the stencil print process, where edges of sintering paste pads may deviate from specifications, the analysis area is strategically confined to the chip placement region. High repeatability and accuracy in measuring peaks and holes within this area enable the generation of data that can be employed for direct response to the print process or for process modeling. This includes the analysis of critical hole and peak sizes to preempt premature failures. Keywords: sintering, printing, 3D measurement, phase-shifting shadow Moiré

Biography
Axel Lindloff studied general electrical engineering at the Bielefeld University of Applied Sciences and has been active in the SMT world since 1999. He initially gained 3 years of experience in sales of stencils and consumables before moving to the application department of a well-known printing machine manufacturer in 2003. Here, he worked until 2012 with the optimization of existing processes, audits and the development of new printing applications. Since September 2012, Mr. Lindloff has been working for Koh Young Europe GmbH as an application engineer. Here, he mainly deals with questions relating to solder paste printing and process optimization with the 3D data obtained.

Advanced Packaging Conference
Kontron AIS GmbH Kontron AIS GmbH Schulze, Natalie
Schulze, Natalie

Schulze, Natalie
Product Manager Equipment Control and Integration
Kontron AIS GmbH

Schulze, Natalie

Body
The semiconductor industry is continuously evolving, driven by innovation, efficiency and scalability. To maintain competitiveness, not only the adoption of new equipment is required, but also the strategic retrofits of existing systems. Learn about the benefits of retrofitting semiconductor production equipment to enable their integration into modern production.Prolonged Use of Existing Production EquipmentRetrofitting is cost efficient as they extend the operational life of current assets. Semiconductor manufacturing equipment is a substantial investment, and leveraging retrofits allows fabs to update these systems to support new process requirements, accommodate advanced materials and improve overall production capabilities. By updating not only the software of a legacy tool, but also outdated components, the risk to require no longer existing spare parts can be minimized.Enhanced Technology Integration and AutomationCentral to a successful retrofit is the integration of sophisticated equipment controls. The utilization of SECS/GEM, GEM300, and Equipment Data Acquisition (EDA) standards is essential. SECS/GEM standards ensure compatibility and interoperability across diverse equipment, while GEM300 standards support automation in 300mm fabs, enhancing throughput and efficiency. EDA further allows for detailed data analysis and predictive maintenance, contributing to higher yield and reduced downtime.Improved Security and MaintenanceRetrofitting also addresses critical security concerns. Modern control software solutions more sophisticatedly support cybersecurity measures to protect against increasing threats. This is crucial for maintaining the integrity and confidentiality of proprietary manufacturing processes. Additionally, retrofits improve maintenance capabilities, incorporating regular tool updates. This is one step ahead to reach conformity with the cybersecurity standards SEMI E187 and E188.ConclusionIn conclusion, retrofitting existing semiconductor production equipment with modern technology, security, and maintenance capabilities presents a strategic pathway for fabs aiming to remain competitive in a fast-evolving market. By leveraging advanced equipment control and integration software, fabs can achieve significant cost savings, improved performance, and enhanced security. Join us in exploring how retrofits can make your fab fit for the future, driving innovation and efficiency in semiconductor manufacturing.

Biography
With two years dedicated work as product manager for equipment control and integration software in the semiconductor industry at Kontron AIS, it is my aim to support OEMs and Fabs to tackle the major challenges we meet: a fast moving market, high speed innovations and keeping up with the pace.

Fab Management Forum
M To top
Melexis Melexis Chombar, Françoise

Chombar, Françoise
Chairwoman and co-founder of Melexis
Melexis

Chombar, Françoise

Body
Panelist

Biography
SummaryFrançoise Chombar is Chairwoman and co-founder of Melexis. She has been the CEO ofMelexis for 18 years. She has held executive positions with a number of tech companies.Ms Chombar is currently member of the Board of Umicore, the Board of Soitec and theadvisory Board to Byteflies.She is equally president of the STEM platform, an advisory board to the Flemishgovernment, aiming to encourage young people to pursue a Science, Technology,Engineering or Mathematics education.Françoise Chombar’s long-term commitment to actively advocating more STEM and moregender balance is driven by the profound belief in their positive societal impact.AwardsFrançoise Chombar is the recipient of numerous awards including the Vlerick Award, theGlobal Prize for Women Entrepreneurs of BNP Paribas, ICT-Personality of the Year byDatanews, Science Fellowship at the VUB, Honorary Award by the Flemish Communityand the Computable Lifetime Achievement Award.EducationMs Chombar holds a Master’s Degree in interpreting (Dutch, English and Spanish) fromGhent University.

European Projects for a Diverse Talent Pipeline
Merck KGaA Merck KGaA Beckmann, Kai

Beckmann, Kai
CEO, Member of the Executive Board
Merck KGaA

Beckmann, Kai

Body
Coming Soon

Biography
Kai Beckmann, born on September 13, 1965 in Hanau, Germany, joined the Executive Board of Merck in April 2011. He is responsible for the Electronics business sector (formerly Performance Materials), which he has been leading as CEO since September 2017. In October 2018, Kai Beckmann took also over the responsibility for the Darmstadt site and Inhouse Consulting. In addition, he acts as Country Speaker Germany with responsibility for co-determination matters.Prior to his current role, Kai Beckmann was Chief Administration Officer of Merck with responsibility for Group Human Resources, Group Business Technology, Group Procurement, Inhouse Consulting, Site Operations and Merck Business Services as well as Environment, Health, Safety, Security, Quality. In 2007, he became the first CIO of Merck, with responsibility for Corporate Information Services. From 2004 to 2007, he served as Managing Director of the Merck companies in Singapore and Malaysia, and prior to that he held senior executive responsibility for the Information Management and Consulting unit from 1999 to 2004. He began his career at Merck in 1989 as an IT system consultant.Kai Beckmann studied computer science at the Technical University of Darmstadt from 1984 to 1989. In 1998, he earned a doctorate in Economics while working.

CxO Summit
Metahelios Metahelios Altuzarra, Charles

Altuzarra, Charles
Chief Executive Officer and Cofounder
Metahelios

Altuzarra, Charles

Body
All camera sensors made to take pictures in color require the integration of color filter arrays (CFAs). However, CFA technology has a fatal flaw, they are not made to filter light in the infrared range, in particular in the shortwave infrared (SWIR) range specific to InGaAs and QD sensors. However, obtaining spectral information in the SWIR range is crucial across almost all industries including consumer, earth observation, national security/defense and automotive.In this presentation, we introduce Metahelios' Infrared filter arrays (IFAs) developed with our signature pixelated metasurface technology. More to that, we discuss the implied opportunities for applications of compact SWIR multispectral cameras that require no moving parts.

Biography
Dr. Charles Altuzarra graduated from the Nanyang Technological University (NTU) with a PhD in physics with a focus on experimental quantum optics and metamaterials. During that time he was a researcher under the CNRS/Thales/NTU alliance. He then held positions at Heriot-Watt University, Texas A&M University's Institute for Quantum Science & Engineering (position funded by the Air Force Office of Scientific Research), and University of Glasgow in Scotland. He then cofounded Metahelios with his business partner Dr. Yash Shah in 2022. Metahelios develops cutting-edge pixelated metasurface technology for the consumer, defense and space industries.

MEMS & Imaging Summit
minds.ai minds.ai van Heugten, Jasper

van Heugten, Jasper
CTO
minds.ai

van Heugten, Jasper

Body
As semiconductor operations grow increasingly complex, optimizing production schedules has become too challenging for advanced algorithms and skilled manufacturing engineers (MEs). We present how real-time machine learning, specifically reinforcement learning (RL), can enhance decision-making in semiconductor fabrication facilities.Modern 300MM semiconductor fabrication facilities face challenges such as complex hierarchical structures, high financial stakes, and dynamic processes. These facilities contain thousands of tools with unique capabilities, resulting in intricate dispatching schedules. Frequent schedule updates are required due to maintenance, failures, and shifting priorities. This constant updating makes it impractical for MEs to manually optimize scheduling parameters.To address these issues, we present an RL-based solution to support MEs in scheduling decisions. RL uses simulations and historical data to generate optimized scheduling strategies tailored to current FAB conditions. Automating routine tasks allows engineers to focus on exceptional occurrences and enhance productivity. The system offers real-time schedule modifications to improve key performance indicators (KPIs) like throughput, tool idle time, and critical queue time constraint violations. Machine learning integration not only improves these KPIs but also enhances engineers' quality of life by reducing time constraints.The deployed solution has shown significant improvements in wafer production and operational efficiency with virtually no downsides. The implementation is built using the minds.ai Maestro framework and robust and automated Machine Learning Operations (MLOps) processes.Combining advanced machine learning techniques with the expertise of MEs has increased wafer production and improved engineers' quality of life. This approach demonstrates AI's transformative potential in complex manufacturing environments.

Biography
Jasper van Heugten is the Chief Technology Officer at minds.ai. He leads the research and development of the minds.ai Maestro product, an optimization suite for Semiconductor Manufacturing leveraging state-of-the-art Deep Learning (AI) methods, including Deep Reinforcement Learning. He has 9 years of experience in scaling AI from early idea to production at Fortune 100 companies across multiple industries, such as Semiconductor, Pharma, Automotive, Renewables, and Big Tech. He holds a PhD in Theoretical Physics from Utrecht University, the Netherlands.

Fab Management Forum
MKS Instruments / Atotech MKS Instruments / Atotech Stubbe, Jessica

Stubbe, Jessica
Global Application Manager
MKS Instruments / Atotech

Stubbe, Jessica

Body
In this study, we investigate the challenges and advancements associated with meeting emerging bump requirements in advanced packaging technologies through optimized Electrochemical Deposition (ECD) plating processes. Tracing the historical evolution from Flip Chip to 3-D stacking, the industry's demand for smaller bump sizes and pitches is emphasized. We address the complexities of ECD Cu, Ni(-alloy), and Tin(-alloy) processes, focusing on microbump development and evaluating NiFe alloy as a superior diffusion barrier. The study concludes by highlighting empirical results, offering experimental solutions for miniaturization challenges in advanced packaging, with a particular focus on the promising performance of NiFe as a barrier material.

Biography
I studied chemistry in Berlin, where I earned my PhD in coordination chemistry with a focus on electrochemistry. My career started at Atotech as a scientist in the semiconductor department. Through hard work and dedication, I advanced to lead the electrochemical deposition team in the semiconductor division, overseeing our application processes and ensuring optimal performance in our projects.

Advanced Packaging Conference
O To top
Oculi Rizk, Charbel

Rizk, Charbel
Founder CEO
Oculi

Body
Remarkable progress has been achieved in AI, particularly in the use of deep neural networks, which has significantly enhanced the reliability of face detection, eye / hand tracking, & people detection. However, performing these tasks still demands substantial computational power & memory resources, making it a resource-intensive endeavor that remains to be solved. Consequently, power consumption & latency pose significant challenges for many systems operating in always-on edge applications. The OCULI SPUTM (Sensing & Processing Unit), ideal for smart vision applications, represents an intelligent, programmable vision sensor capable of configuration dynamically to output select data in various modes depending on use case needs. These modes include video, polarity events, smart events, regions of interest (ROI), sparse random access, & actionable information that make the vision sensor efficient. Moreover, the SPU allows real-time programmability of spatial & temporal resolution, as well as dynamic range & bit depth. By enabling continuous optimization, visual AI solutions deploying the SPU can reduce the latency-energy factor by more than 600x at a fraction of the cost. Smart events, ROI, random access, & actionable information output modes are unique to the OCULI SPU. Because the SPU is fully programmable, it can be dynamically optimized between latency & power consumption. It will enable the first truly wireless battery-operated always-on Visual AI products in the market. We will provide an overview of Oculi’s novel vision architecture for edge applications, as well as key results for latency & energy results for multiple use cases of interest. Applicability to various markets including presence/people/pedestrian/object, face, hand, & eye detection will be reviewed. Finally, our results include a comparison with conventional solutions that demonstrate significant advantages in adopting a paradigm shift from imaging to vision for visual edge applications.

Biography
Charbel is Founder and CEO of Oculi. Prior to this venture, Charbel was an Associate Research Professor at Johns Hopkins University, where he was recognized as a top innovator, thought leader, and successful Principal Investigator of multiple innovative and far-reaching concepts and technologies. He has had numerous publications and intellectual property filings, and received 12 achievement/recognition awards. Dr. Rizk was a pioneer in UAV technology, AI & machine learning, and autonomy. He has been a visionary for the optimized signal-to-information architecture that embodies dynamic software-defined multi-modal sensing and fusion and true edge processing.

MEMS & Imaging Summit
Okmetic Oy Okmetic Oy Vuorikari-Antikainen, Anna-Riikka

Vuorikari-Antikainen, Anna-Riikka
Chief Commercial Officer
Okmetic Oy

Vuorikari-Antikainen, Anna-Riikka

Body
***See Victoria***

Biography
Anna-Riikka Vuorikari-Antikainen has a 30+ years’ track record in the semiconductor business. She has worked for the silicon wafer producer, Okmetic, in several management positions during this time. Okmetic is the market leader in advanced, customized silicon wafers for the production of MEMS, sensors, radio frequency filters and devices as well as power devices.Vuorikari-Antikainen has held the position of Chief Commercial Officer since 2020. Prior to this, Vuorikari-Antikainen was Senior Vice President, in the fields of both Products and Customers and Markets, with a full grasp of product and process development as well as Okmetic’s global sales.Prior to her membership in the Executive Management Group, Vuorikari-Antikainen held positions in quality, planning, and new business development at Okmetic since 1992. She has a Master of Science degree in physical metallurgy and strategies and international marketing from Helsinki University of Technology (today Aalto University).Positions of TrustVuorikari-Antikainen is an active member in SEMI organization, such as SEMI’s Silicon Manufacturers Group (SMG) and the International MEMS/MST Industry Forum Committee (MEMS) in Semicon Europe.She has been a board member of several Finnish tech companies and keeps contributing to the MEMS cluster in Finland.

European Projects for a Diverse Talent Pipeline
Omnitron Sensors, Inc. Omnitron Sensors, Inc. Aguilar, Eric

Aguilar, Eric
CEO
Omnitron Sensors, Inc.

Aguilar, Eric

Body
For too long, complex, expensive and laborious ways of manufacturing MEMS deviceshave slowed the growth of a critically important microscale technology.Omnitron Sensors has introduced a new topology for MEMS — its process IP — whichrearranges existing modules to streamline the assembly process and improvecapacitance per unit area. This approach increases device performance andaccelerates the production of MEMS devices for price-sensitive, high-volume markets.Omnitron’s first product, a 3D MEMS step-scanning mirror for long-range LiDAR, is aproof point of its topology. Omnitron’s device is a large 15mm in diameter mirror withtens of degrees of motion and the ability to do step scanning. It’s the first MEMS mirrorto meet the full requirements of FMCW, addressing the mechanical-articulation needs ofmodern LiDAR in autonomous navigation and ADAS.The speaker will provide an overview of Omnitron’s topology for MEMS and will explainhow it may be applied to precision applications such as LiDAR, augmented reality, andimage stabilization.

Biography
Eric Aguilar is a visionary leader in advanced sensor systems for complexapplications such as robotics and autonomous platforms.Eric’s expertise includes leading teams at Tesla, where he managed a crew of 300engineers on the firmware for Model 3, and at X, where he spearheaded thedevelopment of Google Project Wing, an autonomous drone delivery service.Eric led sensor integration at Argo AI. He previously steered product development for asensor company later acquired by Google for $85M.Eric earned a BS in Electrical Engineering from California State Polytechnic University.

MEMS & Imaging Summit
P To top
PTvT PTvT Coates, Matthew

Coates, Matthew
Project Manager
PTvT

Coates, Matthew

Body
N/A. N/A. N/A.

Biography
Matthew Coates is a project manager on the international team of the Dutch National STEM platform, PTvT. As a member of the international team, Matthew primarily works with the EU STEM Coalition - a network of STEM platforms, education ministries, and universities accross Europe, of which PTvT holds the position of secretariat.

European Projects for a Diverse Talent Pipeline
Q To top
QDI systems QDI systems Shulga, Artem

Shulga, Artem
CEO & Founder
QDI systems

Shulga, Artem

Body
Short-wave infrared (SWIR) image sensors play an important role in various defence and security applications, including low-light-level imaging, laser detection and range finding. Pixelated detectors made of indium gallium arsenide (InGaAs) have shown excellent performance for SWIR imaging, however, the cost remains the major barrier for adaptation. In this talk we will present a low-cost, next-generation SWIR sensor. We have developed an alternative technology which relies on lead sulfide quantum dot (PbS QD) photon absorber, which is monolithically and directly deposited on a CMOS readout chip via solution-based process. The uncooled sensor achieves the QE of >20% at 1550 nm with the dark current of 50 nA/cm2. Additionally, the sensitivity range can be extended further to 2.1 um. The technology is suitable for wafer-level manufacturing, thus driving the sensor cost more than an order of magnitude lower. We will present a comprehensive characterization of QD-based imager and demonstrate real-life use cases.

Biography
Dr. Artem Shulga was born in 1988, in the Lugansk region in Ukraine. He completed his Bachelor degree in Applied Physics at the National University of Kyiv. He spent a year working as a Research Engineer in Chernobyl NPP.In 2011, he decided to move to Groningen to complete his Master's degree in Nanoscience. In the same year, he joined the Photophysics and Optoelectronics group, in order to work on colloidal quantum dots in field-effect transistors. Artem defended his PhD thesis, dedicated to the engineering of devices based on quantum dots in 2019. His dissertation, entitled 'Colloidal Quantum Dot Field-Effect Transistors - From Electronic Circuits to Light Emission and Detection' was awarded with the 'Best GEC PhD Thesis Award'. Inspired by a trip to Japan a few years earlier, Artem started the company QDI systems B.V. in 2019. With his strong background in semiconductor electronics and know-how of the fabrication of optoelectronic devices based on QDs, Artem's ambition is to continue running a successful company and to commercialise the discoveries he's made throughout his extensive research.

MEMS & Imaging Summit
QuantumDiamonds GmbH QuantumDiamonds GmbH Bruckmaier, Fleming

Bruckmaier, Fleming
CTO
QuantumDiamonds GmbH

Bruckmaier, Fleming

Body
Advanced packaging solutions such as 2.5/3D integration and chiplets, are becoming essential. However, they complicate access and introduce new failure modes including verticals. Similar problems arise with modern trends such as the move to backside power delivery or new materials for high power devices.Diamond-based quantum sensing can image currents from multiple layers non-destructively via their magnetic field. The technique is capable of detecting defects in hidden layers and infere three-dimensional current density distributions. In addition, high sensitivity is achieved at DC currents as well as higher frequency bands up to several GHz. In this talk, we will present the capabilities of the innovative technique with examples from multiple industries including advanced packages.

Biography
Dr. Fleming Bruckmaier has seven years of working experience in quantum technologies, including a PhD from the Technical University of Munich. He worked on cutting-edge diamond-based technologies targeted at the semiconductor and bio-tech markets resulting in several publications in prestigious journals such as Science Advances or Nature Communications.Since 2022 he is CTO at the Munich-based quantum sensing company QuantumDiamonds, which develops magnetic field microscopes, used in semiconductor electrical failure analysis.

Advanced Packaging Conference
Quantune Technologies GmbH Quantune Technologies GmbH Kischkat, Jan F

Kischkat, Jan F
CEO
Quantune Technologies GmbH

Kischkat, Jan F

Body
Modern medicine has improved dramatically through a better understanding of what causes a condition or what it is correlated to. However, the healthcare system still follows an episodic (reactive) model, where patients only seek medical advice when there are symptoms, which, for certain medical conditions, has proven critically insufficient. Several biomarkers such as uric acid, free fatty acids, or ketone bodies correlate with and thus indicate aspects of chronic conditions such as the metabolic syndrome, prediabetes, or diabetes. The more biomarkers can be measured and quantified with high accuracy, the easier it becomes to make conclusive decisions on the patient’s status.Quantune Technologies provides an alternative approach for the measurement of biomarkers, using Mid-Infrared (MIR) Spectroscopy (or simply Infrared Spectroscopy, not to be confused with Near-Infrared Spectroscopy), the most powerful laboratory analytics tool, used in biological and chemical labs around the world for decades to analyze, among many other things, the molecular composition of body fluids and tissue. Currently, this kind of technology is stuck to laboratory use only due to its desktop size, the need for a vibration-free environment, cryogenic temperatures, and extensive sample preparation and maintenance. Additionally, the devices are expensive, with a cost of around 100k€. Quantune has developed an MIR spectrometer based on a widely tunable Quantum Cascade Laser and touch-detector based on the photoacoustic effect. It is miniaturized to wearable-level with hyper-scalable production for volume markets. It is also robust and suitable for everyday use. The spectral coverage of the technology of 5–11 μm is ideally suited to detect biomarkers non-invasively and pain-free in the interstitial fluid in the skin.

Biography
Jan studied physics at Humboldt-University Berlin and at the University of Illinois at Urbana-Champaign with a Fulbright scholarship. For his PhD, he worked on novel External-Cavity Quantum Cascade Lasers, before founding Quantune Technologies in 2019. Quantune is transforming infrared spectroscopy by bringing its lab-grade analytical power to new markets and people’s lives. He co-authored 6 patent families and has won several scientific awards, most notably the 2023 Bertold Leibinger Innovationspreis.

MEMS & Imaging Summit
Qurv Qurv Goossens, Stijn

Goossens, Stijn
CTO
Qurv

Goossens, Stijn

Body
Computer vision (CV) enables machines and their users to interpret and understand the world around them, making them more intelligent. Current CV systems face limitations under adverse weather or light and struggle to capture more information than a human already sees. Wide-spectrum image sensor technology overcomes these challenges by providing eye-safe active illumination without sunlight interference, offering the ability to penetrate through fog or smoke, and enabling molecular imaging. Quantum dot (QD) based technology is an attractive candidate for high-volume manufacturing of affordable and RoHS-compliant wide-spectrum image sensors. Qurv presents its latest QD-based wide-spectrum image sensor developments, focusing on scalability and computer vision-first use cases.

Biography
Dr. Stijn Goossens is CTO of Qurv and a pioneer in wide-spectrum image sensor technologies based on quantum materials such as colloidal quantum dots. He is inventor of multiple patents in qurv’s portfolio. He obtained his PhD from Delft University of Technology and continued his career as a team lead at the Institute of Photonic Sciences (ICFO). In 2020, he co-founded Qurv as a spin-out of ICFO to develop and commercialize wide-spectrum image sensor technology for high volume computer vision applications.

MEMS & Imaging Summit
R To top
RHP-Technology GmbH Vozarova, Maria

Vozarova, Maria
Material and 3D Printing Researcher
RHP-Technology GmbH

Body
E. Neubauer1, Z.Kovacova1, Lea Babejova1, Maria Vozarova1, Carmen Vladu1, M.Kitzmantel1, D.Dewire2, J.Vriens21RHP-Technology GmbH, A-2444 Seibersdorf2Hi-Rel Alloys – A Qnnect Company, Niagara Falls, ON L2H 0Y5, CanadaLightweight dissipative materials with tailored Coefficient of Thermal Expansion (CTE) is a focal point in materials used in electronic packaging applications. This research aims on materials possessing not only high thermal conductivity, but also allowing to provide significant CTE reduction to conventional Aluminum, Copper and Silver alloys widely employed in electronics. A second material category comprises aluminum alloys reinforced with carbon fibers or with Silicium Carbide (Al-SiC). Especially the fiber reinforced composites allow to tailor the anisotropy of thermal properties. New concepts for the manufacturing have been applied, including methods such as direct hot pressing which allows a precise control of the SiC or Carbon fiber content and facilitates the production of large plates with uniform microstructure up to 400 mm in diameter. This approach allows minimizing energy consumption, while ensuring customizable properties and enhanced thermal and mechanical performance tailored for specific applications. Thermophysical analysis have been carried out showing the positive impact of the inserts on the reduction of the coefficient of thermal expansion as well as the improvement of the thermal diffusivity/conductivity value. Examples of different shapes and heat sink components, which were realized, will be presented.

Biography
Coming Soon

Advanced Packaging Conference
Robert Bosch GmbH Robert Bosch GmbH Joeres, Stefan

Joeres, Stefan
Vice President for Business Development, Semiconductor Strategy and Strategic Projects
Robert Bosch GmbH

Joeres, Stefan

Body
Coming Soon

Biography
Stefan Joeres has been Vice President for Business Development, Semiconductor Strategy and Strategic Projects of Robert Bosch GmbH since 2014.Born in Mönchengladbach, Germany, on April 15, 1977, he is married and has two children. He studied electrical engineering and information technology at RWTH Aachen (Germany).He Graduated as Dipl.-Ing. And finalized his doctorship in 2008 about system simulation for high-frequency circuits at the RWTH Aachen.

ITF Chip into the Future
Robert Bosch Semiconductor Manufacturing Dresden GmbH Fischbach, Sarah

Fischbach, Sarah
Group lead data analytics and machine learning
Robert Bosch Semiconductor Manufacturing Dresden GmbH

Body
To ensure a high-quality and cost-effective manufacturing in a modern semiconductor fabrication it is crucial to install high levels of automation and to leverage big data and AI. The integration of such technologies into a production system, however, can only be successful with a data-centric architecture, strict data governance and standards, and a data-driven mindset of the people shaping those systems. The presentation will illustrate how these enablers were installed and are permanently fostered at the new Bosch 300mm wafer fab in Dresden. Some highlights from the analytics and AI use cases will showcase the effectiveness of those factors.

Biography
Sarah Fischbach is a group lead for Analytics and Machine Learning at Robert Bosch Semiconductor Manufacturing Dresden GmbH. She joined Bosch in 2018 working on strategies for advanced analytics solutions for the new 300mm semiconductor plant. Before that she has been a research associate working on Semiconductor Physics at Technical University of Berlin completing a PhD in 2019. She holds a master’s degree in Physics from Technical University of Berlin and a bachelor’s degree in Physics as well as a diploma in Technical Economics from Karlsruhe Institute of Technology.

Fab Management Forum
Robovision Robovision Van Poucke, Bart

Van Poucke, Bart
VP Market Strategy and Development
Robovision

Van Poucke, Bart

Body
In the context of back-end packaging and test lines, the semiconductor industry is witnessing a paradigm shift towards Industry 4.0 principles. This represents a significant opportunity for Integrated Device Manufacturers (IDMs) and Outsourced Assembly and Test (OSAT) entities to enhance throughput, minimise capital expenditures, and gain a firmer grip on cost efficiency.Chiplets and heterogeneous integration solutions are characterised by the use of new materials, more complex process steps, and a high level of customization. This results in a growing defect complexity and the need for very efficient and high performance quality control.Traditional approaches often lead to significant time expenditure on recipe creation, as the number of products, customised processes, process steps, and inspection machines increases. Even the most advanced recipes struggle to classify all defects with great accuracy.In this paper, we chart a path to lights out operation in the era of advanced packaging. We first introduce a new approach using deep learning vision AI for defect inspection and then present a path to large scale operation by means of tangible strategies and solutions for the main operational challenges.The approach can be best described as a “Subject Matter Expert first” workflow providing minimum description and knowledge loss in the creation of vision AI models which helps achieve human-like performance. Combined with a set of easy to use governance flows for label purity, data set purity and model performance monitoring this approach also guarantees optimal model performance at initial model creation and during model operation.Instantiating this approach in a systemic way requires a platform approach governing the full model life cycle management with self-service data consumption for SME’s and data scientists. A single platform, providing flexibility in early process research and stability in High Volume Manufacturing.The approach shows an 48% increase in FTE productivity for critical competences like data scientists, process owners and computer scientists and as such tackles the critical competence challenges of the semiconductor industry. The SME-first approach both creates trust with SME’s to start using vision AI, while it enables them to fully drives model outcomes. Last but not least it offers an agile model development capable of driving continuous model improvements and handling the large product mix in an efficient way.

Biography
Bart Van Poucke is leading the semiconductor market strategy and devlopment at Robovision, a platform provider for developing scalable vision AI solutions to power intelligent machines. He started his semiconductor carreer at imec in Belgium in various roles from research engineer towards business devlopment roles.

Advanced Packaging Conference
Rogue Valley Microdevices Rogue Valley Microdevices Gomez, Jessica

Gomez, Jessica
CEO
Rogue Valley Microdevices

Gomez, Jessica

Body
Although the semiconductor industry began manufacturing on 300mm wafers in the early 2000s, benefiting from economies of scale, design standards, and standardized process nodes, the MEMS industry has lagged. In MEMS, it’s still a single product, single process world – making it time-consuming and expensive to bring new devices to market. As the number of 300mm CMOS fabs continue to increase, so does the demand for 300mm-capable MEMS foundries to support critical technology integration, scalability, and efficiency.For the MEMS industry, the adoption of 300mm wafers will signify a substantial leap forward in manufacturing automation and cost-effectiveness, yet it still presents many challenges. MEMS processing frequently utilizes non-CMOS compatible materials for metallization, sensing and actuation, making it nearly impossible to support both CMOS and MEMS manufacturing in the same facility. To be successful on a 300mm platform, MEMS foundries will need to manufacture a high mix of products to ensure their facilities run efficiently and at capacity.This session will explore how Rogue Valley Microdevices – a pureplay, full-service precision MEMS foundry – has reacted to this need for 300mm MEMS with the construction of its second fab, a flexible, 300mm-capable MEMS foundry in Palm Bay, Florida with production set to begin in 2025. Rogue Valley Microdevices expects to address multiple areas of need, including thin film deposition, through-silicon via (TSV), RDL, UBM, wafer level packaging, and is poised to leverage 300mm technology to propel MEMS sensor development to unprecedented heights.Join us as we explore how flexible, 300mm-capable MEMS foundries promise to revolutionize the integration of microsensors into the supply chain, fostering innovation and unlocking new possibilities for transformative products.

Biography
As founder and CEO of Rogue Valley Microdevices, Jessica Gomez has created a world-class precision MEMS foundry in the heart of Southern Oregon, with a second foundry under construction in Palm Bay, Florida. Integral to her role as CEO, Ms. Gomez practices a business philosophy of offering best-in-class process technology and R&D expertise to customers, to help them achieve the highest quality and reliability in their products. Prior to founding Rogue Valley Microdevices in 2003, Ms. Gomez honed her experience in semiconductor processing and production management through positions at Standard Microsystems Corporation, Integrated Micromachines, and Xponent Photonics.Ms. Gomez plays an active leadership role within and beyond the technology industry. She is a board member of the prestigious SEMI Board of Industry Leaders, and she is the first executive selected for Spotlight on SEMI Women, which honors accomplished women in the global microelectronics industry.

MEMS & Imaging Summit
S To top
SEMI SEMI Manocha, Ajit

Manocha, Ajit
President and CEO
SEMI

Manocha, Ajit

Body
Coming Soon

Biography
Ajit Manocha is the president and CEO of SEMI. Headquartered in Milpitas, California, SEMI is the global industry association serving the electronics manufacturing and design supply chain. Throughout his career, Manocha has been a champion of industry collaboration as a critical means of advancing technology for societal and economic prosperity.Manocha was formerly CEO at GLOBALFOUNDRIES. Prior to this he held the role of EVP of worldwide operations at Spansion and earlier served as EVP and chief manufacturing officer at Philips/NXP Semiconductors. He began his career at AT&T Bell Laboratories as a research scientist where he was granted more than a dozen patents related to semiconductor manufacturing processes that served as the foundation for modern microelectronics manufacturing. He has served on the boards of SEMI, SIA and GSA.Today, there is a much broader scope for SEMI to help foster collaboration and fuel growth than we could have ever imagined at its inception in 1970. This scope has to be accomplished without compromising the strong foundation of SEMI – the equipment suppliers and materials makers. Manocha feels SEMI must evolve as the industry’s ecosystem rapidly expands to support smarter, connected applications based on artificial intelligence, machine learning and other disruptive technologies.Manocha is active on global advocacy and workforce development issues and has served on the President’s committees for “Advanced Manufacturing Partnerships” and the President’s Council of Advisors on Science & Technology (PCAST).In 2021, VLSIresearch added Manocha to its Semiconductor Industry Hall of Fame for his leadership of SEMI efforts to address geopolitical trade tensions as well as for his initiative in navigating the many challenges of the COVID-19 pandemic impacting SEMI and the microelectronics industry. In 2020, Manocha was inducted into the Silicon Valley Engineering Hall of Fame, and VLSI named him an “All Star of the Semiconductor Industry” for his visionary leadership in 2019 to restructure SEMI to represent the expanded electronics supply chain.

CxO Summit
SEMI Europe SEMI Europe Altimime, Laith

Altimime, Laith
President
SEMI Europe

Altimime, Laith

Body
Coming Soon

Biography
Laith Altimime, as President of SEMI Europe, leads SEMI’s activities in Europe and the Middle East and Africa (EMEA). Altimime has P&L responsibility as well as ownership of all Europe region programs and events, including SEMICON Europa. He is responsible for establishing industry standards, advocacy, community development, expositions, and programs. He provides support and services to SEMI members worldwide that have supply chain interests in Europe. He manages and nurtures relationships with SEMI members in the region and globally as well as with local associations and constituents in industry, government, and academia. Altimime has more than 30 years of international experience in the semiconductor industry. Prior to joining SEMI in 2015, He held senior leadership positions at NEC, KLA-Tencor, Infineon, Qimonda and imec. Altimime holds an MSc from Heriot-Watt University, Scotland.

MEMS & Imaging Summit
CxO Summit
Advanced Packaging Conference
Fab Management Forum
SEMI Europe Srivastava, Kartikey

Srivastava, Kartikey
Senior Specialist, Communications
SEMI Europe

Body
Coming Soon

Biography
Kartikey Srivastava is Senior Specialist - Communications at SEMI Europe. A member of SEMI Europe's team since 2023, his focus is on the Dissemination and Exploitation of Erasmus+ and Horizon Europe projects such as HiCONNECTS, ECDA and ECSA.

EU Digital Forum
SEMI Europe SEMI Europe Cummings, Victoria
Cummings, Victoria

Cummings, Victoria
Senior Manager, Workforce Development and EU Projects
Semi Europe

Cummings, Victoria

Body
This session will present the results from the first year of the European Chips Skills Academy, a European project funded under the Erasmus+ program to address the talent gap in the semiconductor industry. In particular, it will highlight the ECS Summer School, Educational Leaders, ECSA Student Forum, as well as the courses and career resources available on the Academy's e-learning platform.

Biography
Since joining SEMI Europe in 2023, Victoria works to drive programs that support workforce development and raise awareness of the different career paths in the semiconductor sector. She acts as the Coordinator of the European Chips Skills Academy (ECSA) project and is involved in several projects related to skills.

European Projects for a Diverse Talent Pipeline
SEMI Europe SEMI Europe Gani, Reviliani

Gani, Reviliani
Senior Manager, Diversity and Workforce Development
SEMI Europe

Gani, Reviliani

Body
Coming Soon

Biography
Reviliani joined SEMI Europe in 2019. In her current role as Senior Manager of Diversity and Workforce Development at SEMI Europe, she coordinates the implementation of the EU Erasmus+ project, European Chips Diversity Alliance, with a mission to lower barriers for under-represented groups in the European semiconductor industry through data, training, and engagement programs. She has over 10 years of experience managing various projects across government and public sectors in Jakarta, Paris, and Berlin. Reviliani holds a Master's Degree in Tourism Project from Lille University.

European Projects for a Diverse Talent Pipeline
Siemens EDA Siemens EDA Heurung, Thomas

Heurung, Thomas
CEO Siemens Electronic Design Automation GmbH & Technical Director EMEA
Siemens EDA

Heurung, Thomas

Body
Coming Soon

Biography
Since graduating with a degree in electrical engineering in 1997 from Friedrich-Alexander University in Erlangen, Thomas Heurung has been assisting customers in solving various challenges in industrial applications. His first engagements were with the development of electrical systems for automobiles and airplanes, embedded software for distributed control systems, and eventually moved on to the development of complex electronic systems and components.After transitioning from Synopsys to Mentor Graphics in 2004, which became part of Siemens AG in 2017 and is now known as Siemens Electronic Design Automation, he held various responsibilities such as global business development and establishing and leading technical sales in Europe and India for the Capital and Volcano product lines.Since 2020, he is serving as Technical Director, responsible for the technical sales of semiconductor and electronic systems development tools at Siemens EDA in EMEA.

ITF Chip into the Future
SOITEC Belgium NV SOITEC Belgium NV Germain, Marianne

Germain, Marianne
General Manager BU GaN & CEO
SOITEC Belgium NV

Germain, Marianne

Body
Coming Soon

Biography
Dr Marianne Germain received in 1999 her PhD degree in Electrical Engineering from the University of Liege (BE), where she conducted research in close collaboration with RWTH Aachen (D), and as invited post-doc in Purdue University (US) and Würzburg University (D). In 2001, she joined IMEC, where she became in 2004 Program Manager of the “Efficient Power/GaN” program. In May 2010, she co-founded “EpiGaN”, a spin-off located in Hasselt manufacturing GaN epiwafers for electronics applications, where she acted as CEO and member of the Board of Directors. EpiGaN nv was acquired by SOITEC in May 2019, where she is now acting as General Manager of BU GaN and CEO of SOITEC Belgium NV.

ITF Chip into the Future
ST Microelectronics ST Microelectronics Nicoleau, Serge

Nicoleau, Serge
Group Vice-President Technology
ST Microelectronics

Nicoleau, Serge

Body
To be shared soon.

Biography
Since 2024, Serge Nicoleau is Group Vice-President Technology in charge of defining, implementing, and driving the R&D governance of Digital and BCD technologies of STMicroelectronics. He started his career in STMicroelectronics in 1998 in manufacturing management of the 200mm Crolles fab, nearby Grenoble. After various positions in manufacturing, process engineering, equipment engineering, he joined in 2004 the Crolles 300mm fab within the Crolles2 Alliance between STMicroelectronics, Motorola/Freescale and Philips/NXP. In 2007, he became Director of Industrial Technologies, before enlarging his responsibilities in 2012 as Deputy Director of the Operations of both Crolles 200 and 300 fabs.This role included a specific responsibility for the industrial challenges of Automotive and IoT products in technologies ranging from 0.5μm down to 28nm critical dimensions with their multiple variants and options. In 2018, Serge Nicoleau is engaged into the new STMicroelectronics 300mm fab program in Agrate (Italy) to support Smart Power, Analog Mixed Signal and eNVM products. Then in 2020, he took the responsibility of the Technology & Design Platforms organization as General Manager. This organization is covering digital technologies, fast analog technologies, embedded memories, and optical sensors, with teams in France, in India, in United States which are addressing the digital products of STMicroelectronics.Serge Nicoleau holds an Engineering Degree of the Ecole Polytechnique (Paris), a master’s degree in Theoretical Physics of the Ecole Normale Supérieure (Lyon) and a PhD in Particle Physics.

Fab Management Forum
ST Microelectronics Crolles Villieu, Cyril

Villieu, Cyril
Process Engineer
ST Microelectronics Crolles

Body
Human and industrial activities are a driver of climate change, and growing needs of electronics devices make semiconductor manufacturing a significant contributor of Green houses gases emissions. Improving sustainability on existing and future microelectronics products is then an essential achievement for our industry. It has been shown and demonstrated several solutions to lower GHG emissions, key point is to deploy it to already installed base toolsets as LAM 2300 dry etching tools in STMicroelectronics Crolles. Clear Net Zero objectives allows motivated teams to work on such projects even without immediate ROI targets. Products improvements is ambitious and required rigorous methods to make persistent any achievements for next generation devices.This project is based on measurement done by LAM with showing the most powerful GHG emissions contributors, confirmed by ST Crolles consumption studies. Using dedicated LAM softwares and life cycle analysis to estimate process impact, it allows to quantify any change effect. A clear and detailed method method has been used to established which processes could be optimized regarding harmful gaz rejection. Then solutions were tested directly in industrial environment which allows a fast reaction time and quick feedback on any trials.On of the characteristic of semiconductor industry is its rapid expansion and fast changing capacity. Consequently, it is key to make any new sustainable solution adaptable to newest processes. By dedicating dry etching platform and joining ST R&D, production and LAM ressources, significant results were obtained which led to consequent reduction of GHG emisions on plasma etching modules.

Biography
Assessment Reports 6 about knowledge on climate change, IPCC, 2023From Table 7.SM.7

Fab Management Forum
STATS ChipPAC STATS ChipPAC Yang, Cheng

Yang, Cheng
Corporate Fellow
STATS ChipPAC

Yang, Cheng

Body
With ever growing demands of AI and cloud applications, advanced packaging especially 2.5D/3D with chiplet integration, becomes a major technology path to meet the increasing demands of computing power. Power delivery and thermal management are two crucial roadblocks in various scenarios, e.g. when large size xPU power can reach thousand watts, liquid cooling and high efficiency power modules at both board and package level become prerequisites for the system to work properly. Package and silicon power delivery solutions, e.g. silicon capacitors (including DTC, MIM etc.), integrated VR etc. add process complexities and cost but are necessary to make the advanced node IC work properly. Further integration in both board and package level can been foreseen, e.g. 48V package integrated VR to meet future demands. On the other side, the thermal management (basically all power delivered to computing ICs will be dissipated as heat) becomes very challenging, advanced package and system level cooling methods are needed. Thermal interface material is a major concern at many cases where advanced TIM becomes a very hot topics in the industry. Heat induced reliability (silicon, package) is also a critical job for the packaging industry. Key trends observed and a few cases will be discussed.

Biography
Dr. Yang is currently corporate fellow for JCET STATS ChipPAC. He has more than 20 years’experience in electronics system and IC packaging development. At present he is leading corporate technology office at JCET STATS ChipPAC. Before joining JCET, he was at Flex on SiP products and technology development in IoT, automotive, medical, and industrial applications, covering design, manufacturing, and testing areas. He has worked at Intel on memory packaging design and technology development for 13 years. Dr. Yang hold a Ph.D. degree from National University of Singapore, EMBA from Washington University in St. Louis, and Master and Bachelor from Shanghai Jiaotong University.

Advanced Packaging Conference
SteerLight SteerLight François, Simoens

François, Simoens
CEO
SteerLight

François, Simoens

Body
LiDAR sensors are key for safe mobility and in particular for automated and autonomous robots, machines and vehicles to detect obstacles. However, today’s commercial LiDAR technologies cannot face the issues of a widespread adoption in terms of costs, reliability and form factors. Steerlight, a CEA-Leti spin-off, develops a third generation of LiDARs on-chip combining at chip-scale level FMCW detection scheme and non-mechanical beam steering thanks to Silicon Photonics. FMCW provides instantaneous depth and velocity information while Silicon Photonics allows a system on-chip integration of the optical and electronics functionalities.This miniature and scalable LiDAR enables high reliability, compactness, cost reduction with high resolution/range performance. These features are ‘must have’ for a mass adoption by the growing markets of automotive and robotics. More generally, such new sensor will empower the use of 3D vision for a wide range of applications such as smart cities, services, homes or even consumer electronics.

Biography
Dr François Simoens is the CEO and the co-founder of SteerLight, a deeptech start-up founded in July 2022 with the ambition to provide smarter 3D vision with a new generation of miniaturized FMCW silicon-photonics based LiDAR sensors. He builds this disruptive value proposition on his prior involvement in photonics developments for more than 25 years. He joined CEA-Leti in 2003 to contribute to R&D institutional and industrial project before taking the position of program manager and expert in the imaging sensor field. During the 15 last years, he has been acting as Business Developer for industrial partnerships and Marketing Manager for the strategic imaging domain.

MEMS & Imaging Summit
STMicroelectronics STMicroelectronics Ferri, Simone

Ferri, Simone
APMS Group Vice-President, MEMS sub-group General Manager
STMicroelectronics

Ferri, Simone

Body
Sensors are at the core of many of our interactions with the world. They serve as the bridge between the physical and digital realms. From this perspective, there is a strong expectation that sensorization remains human-centric, enhancing our daily lives by contributing to a better lifestyle. Also, there is an increasing urgency to keep up with long-term plans regarding sustainability. The path for a net-zero transition is everyone's responsibility, and embedding sustainability practices in our sensor strategy is essential to our people, our business, and society at large. Our commitment to sustainability is reflected in the way our sensors deliver valuable data efficiently across various industries, including automotive, industrial sectors, infrastructure projects, and consumer electronics, ensuring that our technological footprint is both meaningful and environmentally conscious.

Biography
Simone Ferri is General Manager for STMicroelectronics MEMS sub-group since February 2016.Simone began his career in STMicroelectronics in 1999 as an R&D engineer, before moving on to digital designer for the Audio Division, leading into product management after 5 years. In 2014, Simone was entrusted with ST MEMS consumer sensors then with global MEMS-sensor related Marketing and Application activities across all markets and segments, and, more recently with AMS Group Vice-President and General Manager of the MEMS sub-groupSimone Ferri was born in Milan in 1972 and graduated with a degree in Microelectronics from the Polytechnic of Milan, where he also completed his MBA.

MEMS & Imaging Summit
SUSS MicroTec Solutions GmbH Schmidt, Thomas

Schmidt, Thomas
Product Manager Bonder
SUSS MicroTec Solutions GmbH

Body
Integrated high-performance metrology for overlay has become a key requirement for state-of-the-art hybrid bonding applications not only as a potential quality gate for incoming substrates, but in particular to monitor bonding performance in general.Powered by the need of more and more memory and computing capabilities, hybrid bonding is gradually adopted to produce the required chips for HPC application. This advanced packaging technology relies on dielectric fusion bonding of two wafers or dies together at room temperature, electrical interconnection of the Cu pads is formed subsequently at the post-bond anneal in a separate oven. Surface quality, cleanliness and Cu-recess control are key process parameters to ensure high yield and cost-effective integration of this assembly technology. The different bonding strategies, from W2W towards known good dies selected and transferred directly to the target wafer (sequential D2W) or via a temporary substrate (collective D2W) are illustrated in the following figure below.In this article, we want to shift the focus towards the requirements for integrated metrology solutions and discuss the benefits and results obtained by in-line measurement to enable both, high bonding performance and process control.We present the W2W overlay concept based on our dual side pneumatic deflection and bond wave propagation concept. This technology features, together with a 20mK temperature control enables for scaling correction and offer a <50nm post bond accuracy (@3sigma) with a max vector not larger than 80nm. In order to ensure and monitor the bonding performance, a powerful and reliable metrology solution is required. The integration of the ISO3-based SET NEO HB flip-chip bonder into a SUSS production cluster even places additional requirements on the metrology platform for void free sequential D2W placement. Integrated metrology can easily feedback both wafer-to-wafer and die-to-wafer alignment offsets if sufficiently fast and accurate. In case of D2W direct glass carrier pick-up capability allows to handle and realize void free stacking of <50um thin dies assisted by up to 3kgF bond force to mitigate the die-warpage. Furthermore, by combining D2W with W2W bonding, thin dies placed on glass carrier with LR/TBM can be collectively transferred in one single step to the target wafer. Here D2CW overlay error can then be improved by prior overlay mapping and derived global offsets.

Biography
Thomas Schmidt is Product Manager in the Bonder Division of SUSS MicroTec iSolutions GmbH n Sternenfels. After his graduation in Microsystems Technology at the University of applied sciences in Kaiserslautern he has held various positions in MEMS/semiconductor processing and has also lectured on advanced lithography as well as on MEMS and advanced CMOS fabrication.Since December 2017 Thomas Schmidt is a member of the Bonder Division of SUSS MicroTec (product line "Permanent Wafer Bonding“) with a strong focus on automated cluster platforms for MEMS/packaging applications and hybrid bonding for advanced packaging.

Advanced Packaging Conference
T To top
Technische Hochschule Ingolstadt Pandey, Amit

Pandey, Amit
PhD Student
Technische Hochschule Ingolstadt

Body
Due to increasing active safety requirements from tests like NCAP, it is expected that all newly registered vehicles will soon have at least two cameras, with medium to higher-end vehicles having four. With 80 million new vehicles worldwide annually, this creates a high demand for cameras, which, due to volume, has a high potential for cost reductions. Since the most expensive component in a camera is its objective, it raises the question of whether its complexity can be reduced. This work investigates a curved imager to reduce the number of elements in the objective by eliminating the need to form a planar image.The long-term goal is to attach the imager to the last optical surface, either with a recess in the element to attach bond wires or using a Through-Silicon Via approach. This work presents an intermediate step, where a commercially available imager is thinned and bonded into a curved pedestal, detached from the objective as in established camera designs.The design was first evaluated using silicon chips with thicknesses of 30 and 50 µm. BK7 glass was used as the pedestal’s material, into which a 60mm concave radius was ground. Various liquid adhesives were investigated to bond the chip into the curvature. During assembly, the top side of the chip was vacuum sucked onto a convex 60mm radius tool, adhesive was applied, and the chip was pressed into the pedestal's depression. While remaining in place, the adhesive was cured at 150°C for 15 minutes. The assembly process impact was investigated by measuring induced stress via Raman spectroscopy and bonding quality via scanning acoustic microscopy (SAM). SAM investigation showed that the interconnect with the adhesive was inhomogeneous because of non-uniform adhesive dispensing and pressure application. Therefore, the approach was modified by investigating various adhesive volumes and dispensing patterns. After bonding, high compressive stress concentrations were seen at the center of the edges.In the next step, thinned imagers were bonded to pedestals and to PCBs. Electrical connections were realized by 25 µm wire bonds. After the assembly, it was possible to read register values from the imager, but capturing an image was not feasible. The reason for this was hypothesized to be damage to the imager during thinning. The hypothesis was supported by results from accelerated thermal aging, where cracks appeared after 100 cycles. Work is in progress to achieve a fully functional imager.

Biography
Amit Pandey received a B. Tech degree in Mechanical Engineering fromSRM University in India and an M. Eng. degree in Automotive Engineeringfrom University of Applied Sciences in Ingolstadt. He is a researcher atthe Institute of Innovative Mobility affiliated with Technische Hochschulein Ingolstadt, Germany. He is currently a PhD student at the University ofApplied Sciences in Ingolstadt and his current research topics are reliabilty ofoptical sensors in automotive industry and the effect of aging on automotivecamera and its impact on performance on critical tasks such as objectdetection.

MEMS & Imaging Summit
Tokyo Electron Europe Tokyo Electron Europe Lösel, Maximilian

Lösel, Maximilian
Product Specialist
Tokyo Electron Europe

Lösel, Maximilian

Body
Coming soon

Biography
Maximilian has more than 10 years of experience in the semiconductor industry. After his apprenticeship as mechatronics technician at Infineon Dresden he went to engineering school for mechatronics (state-certified engineer). After that, Maximilian joined Tokyo Electron in 2019 as Field Service Engineer for Thermal Processing Systems and started to study Industrial Engineering, where he a achieved a bachelors degree in 2021. Since 2022, Maximilian is part of the European Furnace Technical Support Team. Since that, he has been involved in different technical projects, such as tool evaluations and various optimizations, working closely with customers. In 2023, Maximilian achieved a master’s degree in parallel to his job in Industrial Engineering from Hochschule Wismar. Since 2024, he is a doctoral candidate at Fraunhofer IPMS / Technische Universität Dresden, working on sustainable solutions for high temperature processes.

Fab Management Forum
Transformative Optics Corporation Transformative Optics Corporation Rhoads, Geoff

Rhoads, Geoff
Chief Technology Officer
Transformative Optics Corporation

Rhoads, Geoff

Body
Creating lighting for adequate detection is a primordial problem of imaging. We can trace this struggle to the late 1800s, where solutions began with the flash lamp- an explosive comprised of flash powder and ignition. After 30 years, it was replaced by oxygen and electricity. Harnessing electricity became more efficient, bulbs became reusable, and eventually LED flashes became standard. After over a century of improving lighting, we need a new approach to the problem: how do we maintain image quality regardless of lighting? Hardware solutions have increased Bayer pattern sensors' sensitivity, but maintaining high dynamic range detail in low light remains an issue. The ShadowChrome (SC) ISP is a new approach, built to optimize the performance of every individual sensor. The algorithm exists in two parts. First, we define a standard image set highlighting the nonlinearity of mean pixel values at low SNRs. These are collected and processed with the ShadowChromeProfile (SCP) algorithm, which produces a file (SCProfile) containing information on the noise-floor of the sensor. Second, the SC algorithm leverages SCProfile to intelligently accumulate signal, converging to a lower correlated noise-floor. The result is empirically verified to increase the bit depth of images. SC is suitable for a webservice, on-device processing, or in imaging or video pipelines where raw data is accessible. Visual and performance results, along with partner testimonials show dramatic increase in dynamic range (typically 20dB-30dB), visually sharper details, truer color, and overall reduced noise. With the implementation of ShadowChrome, any camera can continue to produce detailed, high-quality images regardless of lighting- from high dynamic range scenery to nighttime lighting conditions. Beyond our early adopters in live content streaming, we are actively pursuing implementation in CMOS manufacturing, immersive experiences, endoscopic imaging systems, security and more.

Biography
Transformative Optics Corporation (TOC) was founded on the goal of capturing every detail. TOC began with ai-CMOS, a 9-color channel CFA pattern. Sensors with this pattern capture twice as much light, richer feature vectors, and superior color discrimination. ShadowChrome (SC) ISP originated in the development of algorithms supporting ai-CMOS increasing the color channel information. Upon studying SC’s ability to pull detail from dim and low dynamic range imagery, SC was birthed to support standard Bayer pattern sensors. TOC enhances the hardware elements as well, with the Integrated Imaging Modules (IIMs) and Array Cameras. The IIMs are integrated lens and sensor units reducing cost per pixel while increasing image quality. Array Cameras configure solutions of multiple IIMs with specialized data processing algorithms that provide super resolution while maintaining high frames per second. TOC remains focused on advancing these solutions and driving innovation to capture every detail.

MEMS & Imaging Summit
TriEye TriEye Bakal, Avi

Bakal, Avi
CEO & Co-founder
TriEye

Bakal, Avi

Body
Coming Soon

Biography
Coming Soon

MEMS & Imaging Summit
U To top
University of Cyprus Marnerides, Angelos

Marnerides, Angelos
Asst. Professor
University of Cyprus

Body
Critical Infrastructure Systems (CIS) composing Critical National Infrastructures (CNIs) enabling sectors such as power, manufacturing, nuclear, defence, space and transport are underpinned by Industrial Control Systems (ICS) that have recently been exposed to the Internet and the Internet-of-Things (IoT) technologies by virtue of urging business models. Evidently, this relatively recent interface of such traditionally isolated setups with the IoT has resulted to a rapid surge of sophisticated and targeted Advanced Persistent Threats (APTs) causing significant safety as well as monetary effects on a global scale. Such attack vectors are stealthy, and they target hardware and logical processes that are typically resource-constrained and unprotected. Moreover, they are used frequently in several malicious cyber operations such as nation-sponsored cyberwarfare and cybercrimes. Therefore, a great challenge and need exists on developing and evaluating defence and mitigation mechanisms within realistic setups that also adhere to ICS vendor-oriented and proprietary software nature. In this talk, we will focus on illustrating the vulnerability spectrum of ICS devices as well as on-going activities on how generalised vendor-independent solutions can be developed via real use cases in the context of the power, utilities and defence sectors.

Biography
Dr. Angelos K. Marnerides is an Asst. Professor of Cyber Physical Systems Security at the University of Cyprus, in the Department of Electrical & Computer Engineering and a faculty member leading activities in cybersecurity research at the KIOS Research and Innovation Centre of Excellence. Previously, he was a Assoc. Professor at the University of Glasgow (UofG), leading the Glasgow Cyber Defence Group and all the cybersecurity research activities across all research sections in the School of Computing Science at UofG. His research focuses on applied security and resilience for Internet-enabled cyber physical systems using data-driven approaches with focus on critical national infrastructures in various sectors including energy, defence, manufacturing and water utilities. Dr. Marnerides’ research has received significant funding in excess of €8M+ from the industry (e.g., Fujitsu, BAE, Raytheon, EDF), governmental bodies (e.g., EU, IUK, EPSRC) as well as UK national security and defence agencies (e.g., NCSC, GCHQ, MoD Dstl). Dr. Marnerides is currently the project coordinator for the €5.8M COCOON project funded by the EU Horizon Innovation Action (IA) being the first ever EU IA project coordinated by UCY KIOS and UCY in general. He is a malware detection patent author and has published extensively in top-tier IEEE/ACM conferences and journals. Moreover, he is a Senior Member (SMIEEE) of the IEEE and a member of the ACM since 2007. Dr. Marnerides has also played significant roles in various IEEE conferences, earning IEEE ComSoc contribution awards in 2016 and 2018. He obtained his PhD in Computer Science from Lancaster University in 2011 and has held lectureships and postdoctoral positions at institutions including Carnegie Mellon University, University of Porto, University College London, and Lancaster University.

End-to-end Cybersecurity
V To top
VTT Technical Research Centre of Finland Ltd Soukkamaki, Jussi

Soukkamaki, Jussi
Lead, Hyperspectral & Imaging Technologies
VTT Technical Research Centre of Finland Ltd

Body
VTT has created an active hyperspectral imaging concept using spectrally tunable light source based on MEMS Fabry-Perot interferometer and supercontinuum laser. The proposed solution opens new possibilities in close and long range distances enabling detection even in the total darkness. Especially in the industrial lines, this new technology may enable hyperspectral market expansion from niche to machine vision mainstream.

Biography
Jussi Soukkamäki has over 20 years of experience in optoelectronics industry. During the last 12 years he has worked with spectroscopical applications in various positions from R&D to sales and business development in companies like Rikola Ltd, Senop, Timegate Instruments and Spectral Imaging Ltd.(SPECIM). Currently he works as a Lead, hyperspectral and imaging technologies, at VTT.

MEMS & Imaging Summit
Y To top
Yole Group Yole Group Damianos, Dimitrios

Damianos, Dimitrios
Project Manager
Yole Group

Damianos, Dimitrios

Body
The "MEMS & Imaging Young Talent" session is dedicated to showcasing the next generation of innovators in the field of MEMS and imaging sensors. As these technologies continue to revolutionize industries ranging from consumer to automotive, healthcare and elsewhere, nurturing young talent is essential for sustaining innovation and growth.In this session, we will spotlight emerging researchers and engineers who are pushing the boundaries of MEMS and imaging technologies. These young professionals bring fresh perspectives and novel approaches to longstanding challenges, offering new solutions that could shape the future of the industry. Their work spans cutting-edge developments in sensor miniaturization, advanced fabrication techniques, and enhanced imaging capabilities, reflecting the dynamic and interdisciplinary nature of this field.Join this session as it is an opportunity not only to celebrate the achievements of young talent but also to foster connections that will drive future collaborations and innovations.

Biography
Dimitrios Damianos, Ph.D., is a Project Manager in the Consulting Services Division at Yole Group. He manages transverse consulting projects, ensuring their quality and maintaining long term relationships with key accounts. Dimitrios also plays a key role in the expansion of Yole’s market & technical knowledge and supports the development of strategic projects following the company’s leading customers within the semiconductor industry. He holds a BSc in Physics and MSc in Photonics, both from the University of Patras (GR), as well as a PhD. in optics & microelectronics from the University of Grenoble-Alpes (FR).

MEMS & Imaging Summit