A To top
Agileo Automation Agileo Automation Golra, Fahad
Challenges and Opportunities for Adopting Digital Twins in Semiconductor Industry
Golra, Fahad

Golra, Fahad
Research Coordinator
Agileo Automation

Golra, Fahad

Abstract
Virtualization of a real-life object, process or system is not new; what brings the concept of digital twins to the forefront is its potential real time connection to the real world and leveraging AI and big data analytics to interact with and evaluate different “what if” scenarios.Through our experience in the development of digital twin solutions for semiconductor equipment manufacturers, we share the findings of an analysis on their adoption in semiconductor industry.Depending on their perspective, OEMS and integrators have an outgoing focus by finding its value for product improvement, customer service and new business models. On the contrary, fabs have an incoming focus by finding its value for cost reductions, process improvements and safety. By replicating manufacturing systems and processes, digital twins afford an opportunity for online and offline support for extending the APC technologies like Equipment Health Monitoring for assessing tool health as a function of deviation from normal behavior, Predictive Maintenance for using process and equipment state information to predict maintenance needs, Predictive Scheduling for improving scheduling of system by utilizing current and projected information on tool and factory state, capabilities and schedule, Virtual Metrology for the prediction of post process metrology variables using process and wafer state information, and Yield Prediction for monitoring information to predict process or end of line yield.For adopting digital twins, the stakeholders will have to prepare themselves for new challenges. The product teams need to become proficient in both hardware and software by developing new skills like design, simulation, analytics, etc. Integration, management, and maintenance of both physical and digital twins need to be considered. Maintenance of digital twins and their links to the physical twins become a core approach for upgrades and thus require new business models. An additional effort to standardize data interchange, not only between the physical and digital twins but also for the integration of digital twins would be required. With more data from digital twins, unless the stakeholders can find innovative “what if” scenarios, its value would remain unclear. The ownership of data is already becoming an important concern. The stakeholders would need to devise procedures for data sharing and partitioning and ensure the confidentiality of data and intellectual property security.

Biography
Fahad Golra is currently working as a research coordinator at Agileo Automation. After his doctorate in process modeling, he has been active in research activities around model federation, model driven development, Industry 4.0 solutions based on RAMI 4.0, OPC UA and digital twins. He is actively participating in different standardization activities in OPC Foundation, SEMI association and ISA.

Fab Management Forum
Amkor Technology, Inc. Amkor Technology, Inc. Kelly, Mike
Heterogeneous IC Packaging for Advanced AI Applications
Kelly, Mike

Kelly, Mike
Vice President Advanced Package & Technology Integration
Amkor Technology, Inc.

Kelly, Mike

Abstract
Data centers, located in nondescript ultra-large-scale buildings where electric power is more affordable, are the backbone of the data processing and AI training done today. With tens of thousands of high-end central processing units (CPUs) and advanced AI accelerators, these intricate cities of electronics require thousands of kilometers of copper connectivity and megawatts of cooling. Advanced IC packaging trends in the data center are driven by requirements for high memory bandwidth (BW), many-core CPUs and ultrafast networking between racks, servers and storage. The resulting packaging solutions are focused around three key areas: on-package memory for maximum memory BW and capacity as required by AI accelerators; larger-than-reticle-size compute processors for servers; and ever-faster networking devices pushing towards 50 terabits per second (Tb/s) switch capabilities. This three-fold powerhouse of compute and storage, AI acceleration and ultrafast networks to connect discrete functions are pushing advanced IC packaging to the limit. Single IC packaging solutions are still present but are quickly being supplanted by heterogeneous packaging solutions which are required to enable functional performance increases commensurate with demand. IC packaging such as 2.5D silicon-based interposer and high bandwidth memory (HBM) are commonplace as AI accelerators and high-performance switches and routers. Trends in CPUs towards more and more cores to enable fine-grain utilization of this immense resource in the data center has pushed the required gate limit far beyond what can be captured in a single reticle using conventional physical partitioning on a single system on chip (SoC). These compute cores need the highest performance silicon transistors possible and are some of the first products into the latest silicon node. To make room for this compute gate count, high-speed I/Os are being pushed off-chip into discrete I/O chiplets. In addition, the total power requirements and shrinking operating voltages have pushed input current levels to new highs, bringing electromagnetic interference (EMI) considerations back under the microscope, as well as putting local voltage regulation into the package to better contain the incoming current levels.

Biography
Mike joined Amkor in 2005 and has led package developments for EMI shielding, thermally enhanced packages, sensors and high density MCM packages including 2.5D TSV and high-density fan-out (HDFO). He has worked in electronics and IC package design and manufacturing for 25 years, managing projects ranging from polyester flexible circuits to eutectic flip chip, IC package design and signal integrity. Mike has more than 40 patents in the field and holds master’s degrees in Mechanical and Chemical Engineering.

Advanced Packaging Conference
Applied Materials Applied Materials Shenfeld, Amnon
Walking on the Edge: The Path to Seamless-Hybrid Cloud Environments
Shenfeld, Amnon

Shenfeld, Amnon
CTO | Automation Products Group
Applied Materials

Shenfeld, Amnon

Abstract
Taking a closer look at the potential impact of hybrid cloud technologies on smart manufacturing. Following a case-study where AMAT is deploying hybrid cloud technologies to solve both mission-critical, real time, production floor routing decisions, as well as, compute-intensive long term production planning simulation challenges seamlessly, on the edge and in the cloud.

Biography
Coming soon

SMARTx - SMART Manufacturing
Atotech Atotech Schmidt, Ralf
The Pivotal Role of Uniformity of Electrolytic Deposition Processes to Improve the Reliability of Advanced Packaging
Schmidt, Ralf

Schmidt, Ralf
R&D Manager Semiconductor
Atotech

Schmidt, Ralf

Abstract
Heterogeneous integration is considered as the key technology to create large, complex System in Package (SiP) assemblies of separately manufactured, smaller components. Proper control of the uniformity of each process step constitutes one of the main challenges during integration of the different components into a higher-level assembly. In this context, processes that create thick layers by electrochemical deposition are especially susceptible to variations across the substrate. Such processes include copper pillar and bump as well as tin-silver applications. Insufficient coplanarity of electrolytic copper would result in significant reliability issues or evolution of stress in the package. Upcoming hybrid bump designs with features of different dimensions pose additional challenges to the electrolytic copper and tin-silver processes. Purposeful adjustment of differences between the heights of pillars of different diameters may be required after the copper process step in order to obtain the best uniformity for the complete stack with tin-silver on top. In addition to coplanarity, the electrolytic process should allow modification shape of the individual pillar or bump. In this context, a versatile copper electrodeposition process will be discussed that allows adjustment to a broad variety of uniformity parameters and combinations thereof. In combination with suitable tin-silver deposition processes, this process is expected to significantly improve the reliability of copper pillars and bumps for advanced packaging applications.

Biography
For the past 10 years Ralf Schmidt has held various roles related to R&D at Atotech, wherein he focused, amongst others, on the development of various metal deposition processes. He is currently R&D Manager Semiconductor and responsible for all R&D projects, which are related to Semiconductor and Advanced Packaging topics.Ralf is author of numerous publications and patents in this field and committee member of the Advanced Packaging conference of Semicon Europe as well as the 3D & Systems Summit.

Advanced Packaging Conference
ATREG, Inc. ATREG, Inc. Rothrock, Stephen
Coronavirus, Chip Boom, and Supply Shortage: The New Normal for Global Semiconductor Manufacturing
Rothrock, Stephen

Rothrock, Stephen
Founder, President & CEO
ATREG, Inc.

Rothrock, Stephen

Abstract
Over the past 50 years, the semiconductor industry has faced its fair share of difficult challenges. The COVID-19 pandemic the world is currently experiencing has caused the worst downturn since the financial crisis of 2008, devastating global economies. And yet, the semiconductor industry has repeatedly shown incredible resilience in the face of adversity. Despite the pandemic, the market has not experienced such a dramatic upturn since 2003 and as a result, the new market upturn breaks open the fundamental flaws and risks of manufacturing concentration and outsourcing.At a time when the world is precariously dependent on Taiwan for semiconductors, how can the global supply chain forecast, manage, and plan for such sudden shifts in the future? Now more than ever before, advanced technology companies need to keep the finger on the pulse of supply and demand to successfully inform their strategic manufacturing decisions and remain agile to ensure continuity of supply. As chip demand booms in the midst of a supply shortage over the coming months and supply is no longer a given, what does the future hold for manufacturing fabs? Will greenfield become the rule rather than the exception in this new normal (TSMC in Arizona, Cree in New York, etc.)? How will the market upturn impact global fab location choices?Reflecting on some 20 years of experience completing international semiconductor manufacturing asset transactions in North America, Europe, and Asia, ATREG Founder, President, and CEO Stephen M. Rothrock will provide insights into the current global manufacturing asset market and how it is likely to evolve as part of the current market upturn.

Biography
Stephen founded ATREG in 2000 to help global advanced technology companies divest and acquire infrastructure-rich manufacturing assets, including wafer fabs (front- and back-end) as well as MEMS, solar, display, and R&D facilities. Over the last 20 years, his firm has completed close to 40% of all global operational wafer fab sales in the semiconductor industry, a total of 50 transactions representing a value of $4 billion.Recent global acquisitions and sales have involved Fujitsu, GLOBALFOUNDRIES, IBM, Infineon, Matsushita (Panasonic), Maxim, Micron, NXP, ON Semiconductor, Sony, Qualcomm, Renesas, and Texas Instruments to name just a few. Prior to founding ATREG, Stephen established Colliers International’s Global Corporate Services initiative and headed the company’s U.S. division based in Seattle, Wash.Before that, he worked as Director for Savills International commercial real estate brokerage in London, UK, also serving on the UK-listed property company’s international board. He also spent four years near Paris, France working for an international NGO. Stephen holds an MA degree in Political Theology from the University of Hull, UK and a BA degree in Business Commerce from the University of Washington in Seattle, USA.

Fab Management Forum
B To top
Bosch Bosch Egger, Peter
FlexPoint – A novel inspection methodology to address typical MEMS wafer inspection challenges
Egger, Peter

Egger, Peter
Senior Manager
Bosch

Egger, Peter

Abstract
Presentation DescriptionMEMS devices serve a wide range of sensor applications in today’s smartphones, tablets, wearables, drones, robots, automobiles, and variety of smart devices – but manufacturing of these devices involves advanced processes that require tight process control. This presentation explores some of the typical challenges that are seen during the manufacturing of a MEMS device and shows a novel method for addressing these challenges.

Biography
Peter Egger is currently working as Senior Manager at Bosch in the semiconductor plant. He joined Bosch in 2002 as a Process Engineer supporting cleaning steps for MEMS products. In the past 19 years Peter has worked on various topics in the field of cleaning processes and defect engineering for MEMS processing. In 2007 he took over the lead of the defect engineering group in the MEMS fab. Peter received his Master (Diploma) and Dr. rer. nat. degrees in Physics from Technical University of Munich.

SMARTx - SMART MedTech
Brewer Science Brewer Science Arnold, Kimberly
New Material Development for Advanced Packaging
Arnold, Kimberly

Arnold, Kimberly
Chief Development Officer
Brewer Science

Arnold, Kimberly

Abstract
Today’s consumer electronics and high-performance computing needs are dictating many of the changes that are being made within the advanced packaging arena. Advantages of decreased form factor of devices and increased interconnect density to enable better performance and lower costs to consumers are just a few of the justifications for continually modifying the packaging architecture. With package architecture changes comes the need for high-performance materials. A few process flow examples with material need are detailed below.Two principal approaches to manufacturing fan-out wafer-level packaging (FOWLP) components have evolved: chip-first and chip-last, which refer to the point in the process flow where the chips are attached and over-molded in the package. Chip-first applications hold a majority of the FOWLP market space and have many specific process flows. The die attachment process for FOWLP is a very common and critical operation in advanced packaging, which presents many challenges and requirements depending on which process flow is chosen.In the chip-first fan-out wafer-level packaging, the die is placed on a substrate with tape or adhesive on the carrier or by using die attach film. It is imperative to have accurate placement of the chip and minimize die shift during the molding process. The requirements for most applications require a “zero” die shift performance, especially for applications such as multiple die stacking for memory devices. These particular devices will be ground much thinner than 50 µm, and the die attach process not only needs to be accurate without shift, but must resist any deformation to avoid bump damage. Below is an image showing die shift performance after the mold process utilizing a novel material to meet the need for different die attach applications.We have been able to demonstrate less than 2 micron die shift across the wafer after mold processing given the size and distance of the die portrayed above. Work continues in this area with the goal to be less than 1 micron die shift across the wafer.Another process that has required new material solutions is collective die transfer for hybrid bonding. In this process, die are collected on a carrier, similar to the chip-first FOWLP process. But in this process flow, after the die are all placed the carrier, the carrier is flipped over so the die can be aligned with the target wafer. Alignment in this process flow is critical. Die shift cannot be tolerated as the wafer will next undergo a hybrid bonding process. After the hybrid bonding process is complete, the carrier will be removed. Material requirements to enable this process are stringent. These requirements include: room temperature die bonding, no die damage during debonding, front-end-of-line cleaning requirement for die that subsequently need to be hybrid bonded, 100% die transfer without shift, and compatibility with CMP. This process has been demonstrated with 99% successful die transfer to the target wafer. Brewer Science has developed novel materials to meet the need for different die attach applications. In this talk, we will focus on demonstrating the performance of our newly developed materials, which assist in successfully grinding device wafers to ≤20 µm and enabling die attach with minimal to “zero” die shift without bump damage and collective die transfer processes. We will explain how the materials work and provide successful examples.

Biography
Coming soon

Advanced Packaging Conference
Brillianetor Ltd. Brillianetor Ltd. Segev-Hadad, Meirav
Giving robots and machines human-like skills to collaborate
Segev-Hadad, Meirav

Segev-Hadad, Meirav
CEO
Brillianetor Ltd.

Segev-Hadad, Meirav

Abstract
Co-presenter: Alfio Minissale, EU Project manager, ComauAs humans, we collaborate naturally. This makes us more efficient and effective.Machines don’t have this collaboration ability. By imitating the part of the human brain in charge of collaboration, we have developed a Collaborative Social AI platform that applies collaboration skills to robots. The platform implements innovative AI techniques including BDI mental model for Social Behavior. This enables robots to be aware of, communicate and cooperate with each other and humans autonomously.The Collaborative Social AI is a plugin that can be put into any existing system or type of robot. It makes organizations that use multiple robots in their production line to be more efficient, human friendly, save time, save money.In this talk, we will show how the Collaborative Social AI was implemented within commercial applications and will present empirical evidence of its effectiveness in deploying robust multi-robot systems, with rapid setup and development, faster production, and significantly lowered costs.

Biography
Dr. Meirav Segev-Hadad is the founder and CEO of Brillianetor, a multi-agent AI platform that endows machines with the ability to interact socially within a group. She is an inventor of novel technologies in the area of Multi-Agent Systems and is also a multi-published author in the area of AI.Meirav has a proven record in senior management in the High-tech industry, specializing in the development of real-world applications for Artificial Intelligence (AI) in the fields of robotics, defense systems, games, and simulators.Meirav holds a Ph.D. from Bar-Ilan University and Post Doctorate from the University of Haifa with a specialization in Al Multi-Agent Systems. She also holds a variety of patents.

Artificial Intelligence and Robotics in Semiconductor Industry - The MADEin4 Initiative
Bruker Bruker van der Meer, Juliette
Advances in X-ray Metrology under MADEin4
van der Meer, Juliette

van der Meer, Juliette
Product Marketing Manager
Bruker

van der Meer, Juliette

Abstract
Micro X-ray Fluorescence (µXRF) is a well-established technique to measure metal and alloy film thickness and composition of semiconductor structures. It is a fast, non-destructive method with a small-spot size and capable of measuring pattered wafers and is widely adopted in high-volume manufacturing (HVM). However, with the advanced nodes, the structures and films of interest are continuously shrinking, challenging the tools to keep up with sensitivity and throughput. Bruker is collaborating with eXcillum under MADEin4, to assess the performance of latest generation of Liquid Metal Jet X-ray sources for this application.Bruker has also achieved significant improvements in the Total Reflection X-ray Fluorescence (TXRF) tools for metal contamination monitoring, especially in terms of light element sensitivity and throughput.In this presentation we will discuss the advances made and key results from the MADEin4 collaboration.

Biography
2015-present: Bruker Semiconductor, Karlsruhe, Germany. Product Marketing Manager XRD and TXRF. Coordinator EU collaborations.2008-2015: Bruker AXS, Karlsruhe, Germany. Application scientist XRF and thin film metrology.2003-2008: PhD in thermodynamics at Utrecht University, the Netherlands; post-doc in surface chemistry at CEA Marcoule, France

From Reactive to Predictive: Smart Manufacturing in the Semiconductor Industry – The MADEin4 Initiative
C To top
CEA-Leti CEA-Leti Signamarcheix, Thomas
Frugal Artificial Intelligence For Edge Devices
Signamarcheix, Thomas

Signamarcheix, Thomas
Vice President Strategic Development
CEA-Leti

Signamarcheix, Thomas

Abstract
Considering the huge demand for edge devices, frugality has become a critical challenge and still require deep innovation . Thomas Signamarcheix, CEA-Leti’s Vice President Strategic Development, will present how new hardware could provide disruptive solutions for edge devices and especially event based sensors .

Biography
Joined CEA-Leti in 2008 and was named manager of a research laboratory on substrates engineering in 2011. From 2015 to 2019, he was promoted to business development management for Leti’s Silicon Component Division and Architecture and Embedded Software Division. Also, as Key Account Manager of several strategic collaborations, he directly managed a wide range of activity (semiconductor, alternative energy, sensor, radio-frequency, etc.) promoting innovation at both hardware and architectures level. He has served as vice president of CEA-Leti's strategic development since 2020, managing Leti’s strategic program (Quantum Electronic, Artificial Intelligence, wearable healthcare devices and mixed reality) and strategic partnerships. He has a Ph.D. degree in the physics of semiconductor devices from Grenoble Institute of Technology (INPG).

Advancements in Wireless Tech
Centro Ricerche FIAT S.C.p.A. Centro Ricerche FIAT S.C.p.A. Vivo, Giulio
Digital Twin methodology for energy modelling and management of body and assembly shop floors
Vivo, Giulio

Vivo, Giulio
Senior Researcher
Centro Ricerche FIAT S.C.p.A.

Vivo, Giulio

Abstract
In the speech, we explain the aim and the application of a modelling methodology for the cross topic of the Maintenance and Quality control of the plant associated with energy efficiency and thermohygrometric comfort of the industrial buildings, summarizing the achievements obtained and the ongoing tasks as contribution in the overall MADEin4 programme. Indeed, CRF has been designing and developing a digital twin enabling the energy analysis to identify some useful maintenance or energy improvement interventions. The model, once that is completed, will allow to find and test the best solutions targeting the energy performance of the industrial buildings in their obsolete parts and consequently to reduce energy consumption and to improve of the indoor air quality for a better working condition of the involved work force.The whole methodology is divided into the sections of modelling and energy analysis as follows:- Development and test of Building Energy Management (BEM) methodology to estimate the building consumption. This methodological development has been achieved incrementally: just considering the structural parts of the buildings in the initial activity, and by integrating the PEM (Process Energy Management) data, in a subsequent refinement stage, to get the comprehensive model.- Energy simulations to evaluate the best efficiency solution in order to reduce the consumption and to improve energy performance for a well-designed maintenance and to increase the quality of the building.The current condition about the energy management of the factory shows greater control over the process but little attention to the energy consumed by the buildings and their maintenance and optimization. In particular, it is possible to observe:- Lack of knowledge of the thermal behavior of the industrial buildings.- Low diffusion of a common reference methodology for energy analysis.- Lack of a tool to analyze in an objective way interventions on the buildings of the plant.In this scenario the BEM+PEM methodology represents a solution for a better knowledge and control of the energy condition of an industrial building for different important factors, such as the possibility to identify the best solutions of maintenance, revamping and reduction of energy consumption, and because it allows the adoption of innovative analytics, enabling for instance the predictive quality control of working areas indoor temperatures, to the benefit and the well-being of the involved workers.

Biography
Giulio Vivo is a senior researcher of Centro Ricerche FIAT. He graduated in Information Science in 1986 and joined CRF in 1987. From 1986-1989 he worked at Tecnopolis (Bari) dealing with innovative computer vision, inspection, robot guidance, knowledge based vision systems, 2D and 3D pattern recognition applications for the FIAT group plants. He has participated in various EU RTD programs, starting with EUREKA-Prometheus. Lately he has worked in the domain of the preventive safety and the cooperative ITS systems, contributing to a significant number of projects on these subjects, the SAFESPOT EU integrated project among the others. Dr. Vivo is currently involved in the internal research activities of the Stellantis group, dealing on innovations in the process and applications of Human-Robot Collaboration, increased flexibility and reconfigurability of the automation systems, and other research and achievements for the implementation of the fabric of the future.

Artificial Intelligence and Robotics in Semiconductor Industry - The MADEin4 Initiative
Cloud&Heat Technologies GmbH Cloud&Heat Technologies GmbH Struckmeier, Jens
The sustainable edge computer - the greenest data centers for smart cities and citizens
Struckmeier, Jens

Struckmeier, Jens
CTO & Founder
Cloud&Heat Technologies GmbH

Struckmeier, Jens

Abstract
With the increasing digitalization and usage of applications such as artificial intelligence and the resulting high processing of data, the energy consumption in data centers is rising dramatically. By 2030, statistics show that digital infrastructures will contribute to 4-6% of the total global electrical consumption. Mainly driven by growth of hyperscale datacenters as well as from the growing decentralized edge computing infrastructure. Cloud&Heat's energy-efficient direct hot water cooling makes it possible to decrease the carbon footprint while reusing the waste heat of data centers and running them on local renewable energy.

Biography
Dr. Jens Struckmeier is a physicist, inventor and entrepreneur from Germany. Whilst still a student, he initiated and was responsible for planning the first fully green passive house in North Rhine-Westphalia, for sustainable living without a conventional heating system. Between 2000 and 2003 Jens worked as a project lead in device and software development in Santa Barbara, USA. From 2004 to 2009 he successfully founded and managed a German nano-biology instrumentation company (eventually bought by Bruker). In 2009 Jens started developing water cooling systems for data centers, which led to the foundation of the green computing business Cloud&Heat Technologies. Since then, the vision of the Dresden-based company has been to make sustainability the driver of digital innovation. Cloud&Heat develops, builds and operates energy-efficient, green, secure and scalable (edge) data centers, meeting the growing demand for distributed and highly available IT infrastructures. Jens is not only the driving force behind the company’s hardware development but is also focused on a variety of other topics, such as data security, AI, AR, VR and blockchain. Jens’ disruptive inventions have led to Cloud&Heat being awarded several innovation prizes, including the Saxon environmental prize in 2013; the German Data Center Prize in 2015, 2016 and 2019; the European Culture Innovation prize in 2018 and being named the Deloitte Fast 50 winner in 2019. Jens is frequently invited to both national and international conferences as a keynote speaker and was named Best Speaker at the German Data Center Day 2016.

Sustainable - Green & Trusted
D To top
Dell Technologies Dell Technologies Vivolo, Lawrence
A revolution in Smart Factory is coming – driven by Autonomous, SW-defined, Service-oriented, Fully Connected Cars. Are you ready?
Vivolo, Lawrence

Vivolo, Lawrence
Sr. Business Development Manager, Semiconductor & Automotive
Dell Technologies

Vivolo, Lawrence

Abstract
In this session we will discuss the rise of electronics in vehicle design, from Advanced Driver Assistance Systems and Autonomous Driving (ADAS / AD) through electrification and ultimately the connected, SW-defined car – focusing on how Smart Factory deployments of the near future must themselves be connected, up and down the supply chain in order to manage and leverage continuous streams of data. We will introduce the concept of a data stream, which is continuous and without end, as the means to improve quality while opening the door to new revenue streams – all with an eye toward security and privacy. The automotive industry is in the midst of an electronics revolution. Safety-critical features are in high demand; emissions standards are pushing manufacturers toward electrification; 5G, Smart Cities and the Connected Car will drive the need for ever increasing data streaming and management; and the trend toward Serviceable oriented Architectures (SoA) will create new opportunities for revenue. The Smart Factory of the near future will be the center hub of connectivity, with data streams coming from all directions. Traditional functions, like Predictive Quality, will be extended both up the supply chain, to individual components, and down to the consumer for post-production support. New revenue opportunities have already been identified and many more will come. Data is where the money is and where the challenges lay. It must be processed, managed and shared in real-time -- even as it grows exponentially. Growing concerns and regulations related to cybersecurity and privacy must also be addressed by design, as they can single-handedly derail the entire supply chain. In this session we will introduce the concept of the data stream, and how deploying it securely is a critical enabler of the future, fully connected Smart Factory.

Biography
Lawrence Vivolo is a world-wide Sr. Business Development Manager for Automotive and Semiconductor Design, Validation and Manufacturing at Dell Technologies. Lawrence received his Bachelor of Science degree in Electronic Engineering from California Polytechnic State University, San Luis Obispo, and his Master in Business Administration from Santa Clara University, Santa Clara, California. Lawrence’s interests and 30+ years of experience include CPU architecture specification, semiconductor and system-level solution validation, Advanced Driver Assistance and Autonomous Vehicle (ADAS/AD) verification, distributed R&D enterprise infrastructure architectures. Lawrence was most recently awarded a patent for automatic generation of properties to assist hardware emulation in 2017.

SMARTx - SMART Mobility
E To top
EBARA Precision Machinery Europe GmbH EBARA Precision Machinery Europe GmbH Richter, Reinhart
An Emergency Process Technology for Europe
Richter, Reinhart

Richter, Reinhart
President
EBARA Precision Machinery Europe GmbH

Richter, Reinhart

Abstract
Key topics:Performance - Customer Orientation - SustainabilityGet more out of it - added value for fabs and sub fabsEdge control - many challenges to tackleEnhance wafer yield with high quality bevel polishingNew strategic end marketsAdvanced cleaning

Biography

Get
Dr Reinhart Richter
Dr Reinhart Richter is serving as President of EBARA Precision Machinery Europe GmbH since 2015. EBARA is a supplier for CMP and plating equipment as well as vacuum pumps and abatement systems.Prior to EBARA Reinhart has worked for over 13 years at Multitest as vice president sales and marketing and later president successfully promoting the company’s transition to a leading edge solution supplier for advanced test handlers, test sockets and DUT boards. After the acquisition of Multitest by LTX-Credence he served the newly formed Xcerra Corp. as chief technology officer. Before joining Multitest he held various positions at KLA-Tencor Corp., BBN Inc., and IABG.Reinhart Richter holds a M.Sc. and Ph.D. in Solid State Physics from McGill University, Montreal, Canada, and has authored over a dozen peer reviewed scientific papers.From 2014 to 2017 Reinhart has served on the SEMICON Advanced Packaging and Test Conference Committee.

Fab Management Forum
Edwards Edwards Jones, Chris
Broader view of sustainability challenges for a subfab in Europe
Jones, Chris

Jones, Chris
Environmental Solutions Business Development Manager
Edwards

Jones, Chris

Abstract
Energy, water, waste, climate change, pollution are repeated themes in many corporate sustainability reports. The EU’s Green Deal sustainability roadmap shows how climate neutrality and sustainability can fuel economic growth. In this paper we discuss how to meet some of the sustainability challenges encountered during the operation of vacuum and abatement on semiconductor equipment.

Biography
Chris Jones is a Ph.D. qualified chemist and has extensive experience in developing methods to help manage environmental compliance within the semiconductor, nuclear, military, and pharmaceutical industrial sectors, and is looking to communicate the importance sustainability to process developers, tool designers, and factory operators. We all need to have some understanding of how we integrate equipment into a fab to ensure that we have a profitable, safe, and environmental compliant facility. He is the Environmental Solutions Business Development Manager within the Edwards Organisation.

Fab Management Forum
Edwards Semiconductor Edwards Semiconductor Wilson, Kate
Sustainability of the Semiconductor Industry
Wilson, Kate

Wilson, Kate
President
Edwards Semiconductor

Wilson, Kate

Abstract
Overview of the environmental impact of the Semiconductor industry and how we need to work together through the supply chain to minimise this.

Biography
Kate Wilson has more than 25 years’ experience in the development and delivery of vacuum and abatement solutions for the global semiconductor industry.Kate joined Edwards in the UK in 1994 on the company’s graduate scheme, moving on to develop her career through a number of product management and business development roles. In 1998, Kate relocated to the US to take up the role of Applications Engineer, working closely with semiconductor OEM customers to understand and develop solutions for their vacuum and abatement requirements.From 2011, Kate played a key role in developing Edwards’ global Applications capability, with a focus on knowledge management and the conversion of customer and market information into product requirements. In the role of Global Applications Manager, Kate relocated to Korea for two years, during which time she gained excellent knowledge of Korean culture and was instrumental in helping Edwards build customer knowledge and relationships across the Asia region.In 2017, Kate was appointed VP Marketing Subfab Solutions for Edwards’ global Semiconductor business, successfully supporting revenue growth through the delivery of market technology roadmaps, differentiated products, sales support, and operations forecasting to enable market share growth.Kate took up the role of President of Edwards’ Semiconductor division in January 2021, based in Burgess Hill, UK.

Executive Forum 2
Edwards Vacuum Edwards Vacuum Meredith, Richard
Mental Ill Health – The other invisible threat
Meredith, Richard

Meredith, Richard
Senior Manager, Field Service SHE
Edwards Vacuum

Meredith, Richard

Abstract
The subfab is a high pressure environment and continues to present significant hazards to the semiconductor workforce. Hazards such as toxic chemicals, radiation and high voltage are commonplace and, in general, well controlled. But are there unidentified hazards which can cause workers to take time off, quit and be less effective at work even to the point they could contribute to workplace accidents? And did COVID-19 bring more into the workplace than just a biological hazard?Using examples from Edwards’ experience and our journey so far, this abstract will explore the hidden problem of Mental Ill Health, what can be done to unearth it, causes of work-related stress, and, most crucially, what we can do to improve things so employees are happy, present at work (in mind and body) and working at their best.

Biography
Richard Meredith is the Senior Manager for Safety, Health and Environment in the Edwards Vacuum Semiconductor division. He is responsible, with a supporting global team, to ensure the health & safety of approximately 1700 field service engineers globally who work in the high-risk environment of semiconductor manufacturing. Richard has over 20 years’ experience in the semiconductor industry, initially in quality roles and for the last 4 years as a health and safety professional. He holds a law degree and is expecting to receive his Diploma in Occupational Health and Safety from the National Examination Board in Occupational Safety and Health in 2020.

Fab Management Forum
Entegris S.A.S. Entegris S.A.S. Amade, Antoine
Automotive Reliability – Contamination Management and Maturity of the Ecosystems
Amade, Antoine

Amade, Antoine
VP of sales for the Microcontamination Control division in EMEA/NA and Head of the Entegris automotive program
Entegris S.A.S.

Amade, Antoine

Abstract
As we move into a more electrified and automated reality, the sustainability of functional safe and secure electronic systems is a major concern of automobile manufacturers. The complexity of high-performance systems is not possible without the application of the latest semiconductor technology nodes. Now more than ever, auto makers must dig even deeper into their supply chains to identify and eliminate the root causes of potential hazards, many of which are created during the manufacture of the semiconductors that build the systems upon which drivers rely. To truly address functional safety, it is essential that the automotive industry and semiconductor manufacturers work together to create frameworks that improve functional safety for all stakeholders by exploring and optimizing the intersection of contamination control, inspection, and test. Since SEMICON Europa 2018, Entegris has been spreading, with the support of SEMI and car makers, a New Collaborative Approach, a process to tackle defectivity with an improved contamination management strategy.With this presentation we want to share our progress. Is there any meaningful trend that is worth to report in terms of defectivity management? What have we learnt in terms of maturity of the ecosystems? Any correlation with the major technology inflection points? Where should semiconductor manufacturers focus their efforts?

Biography
Mr. Antoine Amade joined Entegris in 1995 as an application engineer in our semiconductor business. Today, he is the VP of sales for the MC Division in EMEA/NA focused primarily on growing the semiconductor business and developing new market opportunities through market strategies and the management of business teams. He also leads the Entegris automotive program.Mr. Amade has a degree in Chemical Engineering from ENS Chimie Lille and is a member of the SEMI Electronic Materials Group, the Global Automotive Advisory Council for Europe (GAAC) and the Platform for Automotive Semiconductor Requirements Along the Supply Chain (PASRASC).

SMARTx - SMART Mobility
European Commission's Directorate-General for Communications Networks, Content and Technology European Commission's Directorate-General for Communications Networks, Content and Technology Maloney, Colette
Expanding Manufacturing Footprint in the EU
Maloney, Colette

Maloney, Colette
Head of Unit Smart Cities and Sustainability
European Commission's Directorate-General for Communications Networks, Content and Technology

Maloney, Colette

Abstract
coming soon

Biography
Dr. Colette MaloneyHead of Unit “Microelectronics and Photonics Industry”European Commission - DG Communications Networks, Content and TechnologyColette Maloney is currently Head of the "Microelectronics and Photonics Industry" unit at the European Commission’s Directorate-General for Communications Networks, Content and Technology.Before joining the Commission in 1994, she held research positions in Ciba, Switzerland and Dartmouth College, USA. She has a BSc in Mathematics and Physics and a PhD in Physics (1982 and 1986 respectively) from Trinity College Dublin.

Fab Management Forum
Evatec Evatec Kurdzesau, Fiodar
Driving Down Cost of Ownership – New High Throughput “Cluster” Evaporation Production Tools for Wireless Applications
Kurdzesau, Fiodar

Kurdzesau, Fiodar
Process Engineer, Semiconductor Business Unit
Evatec

Kurdzesau, Fiodar

Abstract
Evaporation remains a powerful, flexible production technology for metallization and “lift off” process in wireless communication applications. However, manual wafer loading and the long conditioning, pumping/venting time characteristic of classical evaporation tools can make it difficult to achieve the increasingly demanding throughput and cost of ownership targets for emerging high-volume production businesses. Evatec’s innovative cluster design raises the performance bar allowing manufacturers to overcome that challenge. It combines automatic atmosphere loading with fast pumping/venting in loadlock, where a single robot for substrate handling serving up to four process chambers each equipped with its own loadlock module. The presentation will demonstrate the operation of a first BAK cluster evaporation production tool, discuss its performance, throughput and relative cost of ownership.

Biography
Fiodar Kurdzesau is Dipl. Engineer-Physicist (Gomel State University, 1999) with a PhD in Physics (EPFL Lausanne, 2009) and Technical Science (Belarussian National Academy of Sciences, 2004). Since completing his studies he has worked as a scientist within various academic Institutions (ETH Zurich, EPFL Lausanne, PSI Villigen) and within industry including Oerlikon Solar 2009-2012. He joining Evatec in 2019. His fields of interests include physics, electronics and material science with special focus on thin film deposition technology for microelectronics.

Advancements in Wireless Tech
Excillum AB Excillum AB Laza, Simona
MetalJet – a New Key Module for Enhanced Metrology Capabilities
Laza, Simona

Laza, Simona
Research Project Manager
Excillum AB

Laza, Simona

Abstract
A real challenge for any metrology or inspection technology is to achieve not only the required sensitivity, precision and accuracy but also to enhance its productivity in terms of sample rates, cycle times and economic sustainability. X-ray techniques are gaining traction due to intrinsic resolution and 3D capability where, e.g., optical metrology tools are running out of steam. However, all the various X-ray techniques share one fundamental challange: the X-ray source must be powerful enough to enable enough throughput or precision for high volume manufacturing (HVM). The MetalJet X-ray sources developed by Excillum (Fig 1 and 2) are a promising solution since they have the possibility of significantly higher power loading (Fig 3) resulting in faster measurement times. As pioneers of the world’s brightest microfocus X-ray sources (Fig 3), Excillum is relentlessly pushing the limits of X-ray source technologies, to enable new breakthroughs in manufacturing, science and medicine and therefore a perfect key module provider for metrology companies. Within MADEin4, Excillum have identified, together with Bruker, the needs for an X-ray source to be successful for HVM µXRF applications. Excillum's developments have addressed specific key module innovations needed for enhanced capabilities and throughput, in order to gain SEMI market acceptance for the MetalJet technology.

Get
Fig 1. History of X-ray sources
Get
Fig 2. Liquid Metal Jet Anode X-ray source
Get
Fig 3. Brightness of X-ray sources

Biography
Simona Laza, is the Research Project Manager responsible for the publicly funded projects at Excillum AB since 2019. She is a senior R&I professional with background in nanotech research, holds a PhD in Physics from University of Pisa (Italy), and has experience in complex collaborative projects (from FP7 CSA actions on Future internet PPP, to H2020 IA in the field of smart grids, ECSEL JU initiatives, EURAMET and EUREKA projects) in which she has represented universities, research centers and companies from different European countries.

From Reactive to Predictive: Smart Manufacturing in the Semiconductor Industry – The MADEin4 Initiative
F To top
Flexciton Flexciton Potter, Jamie
Enabling smart fabs with next-generation production scheduling
Potter, Jamie

Potter, Jamie
CEO & Co-founder
Flexciton

Potter, Jamie

Abstract
Coming soon

Biography
Jamie is an expert in Mathematics and Statistics and graduated top of his year from the University of Oxford. In 2018, Jamie was added to the 2018 Forbes 30 under 30 list.

Fab Management Forum
Fraunhofer IISB Fraunhofer IISB Erlbacher, Tobias
SiC Power MOS technology evolution as an example for sustainable and efficient energy conversion in DC grids
Erlbacher, Tobias

Erlbacher, Tobias
Department Manager
Fraunhofer IISB

Erlbacher, Tobias

Abstract
The commercialization of 4H-SiC power devices for industrial and automotive applications is in full progress. Cost pressure and technology innovation are pushing device performance to the next level. Conversely, further progress is become more challenging in modern manufacturing technologies due to the increasing effort towards device optimization. Distinct optimization of wafer material, technology, design, processes and manufacturing tools will be required. Moreover, application specific devices will be tailored towards new markets and the resulting requirements.In order to visualize the progress revolving around SiC power device evolution, the technology and design innovation history for VDMOS transistors is reviewed first. This includes wafer quality and diameter, design improvements using JFET implantation and cell shrink. Other power device topologies like TrenchMOS and SuperjunctionMOS will be briefly mentioned.Then, device optimization strategies are discussed. This includes trade-offs between on-state resistance, blocking voltage (performance), surge current and avalanche capability (ruggedness) as well as gate oxide reliability and yield. Requirements for sustainable and efficient energy conversion in DC grids are considered in this example.Finally, a basic roadmap is presented to project further optimization strategies like overlay accuracy, wafer grinding, alternative wafer materials and yield optimization (e.g. for thermal oxidation, wafer substrates). The roadmap is then evaluated against material and manufacturing tool requirements for next device generations. Also, a comparison to TrenchMOS and Superjunction MOS technologies and their benefits and drawbacks will be explained. Here, additional opportunities for manufacturing tools can be identified.

Biography
Tobias Erlbacher received the Diploma in Electrical Engineering (Microelectronics) from the University of Erlangen-Nuremberg in 2004, and his Ph.D. degree in 2008. Since 2009, he is with the Fraunhofer Institute of Integrated Systems and Device Technology IISB in Erlangen, where he is heading the “Semiconductor Devices” Department. Additionally, he is an appointed lecturer at the University of Erlangen-Nuremberg since 2015. His research activities focus on device modelling, design and integration as well as technology development for power electronics. This includes the monolithic integration of passive networks and the optimization of power semiconductor devices in silicon integrated circuits. Moreover, Dr. Erlbacher is working on design and development of silicon carbide devices for power applications, high-temperature integrated circuits and sensors. He also has expertise with non-volatile memories and device characterization at the nano-scale. He has authored a book on lateral power transistors in integrated circuits. He has authored and coauthored over 120 papers in scientific journals and conference, and he contributed to 15 patent families.

Sustainable - Green & Trusted
Fraunhofer IZM Fraunhofer IZM Schischke, Karsten
The journey towards green and carbon neutral electronics: Ecodesign, materials and supply chain management
Schischke, Karsten

Schischke, Karsten
Group Manager Product Ecodesign and Circular Materials
Fraunhofer IZM

Schischke, Karsten

Abstract
Carbon neutrality targets, the Sustainable Development Goals (SDGs) and circular economy policies currently trigger a wave of activities towards reducing environmental impacts of electronics, on the product, component and process level. This presentation provides the broad picture of electronics life cycle impacts, summarises current drivers, including company policies and initiatives of the European Union in particular, and how industry is responding and needs to respond: Extending the coverage of carbon reporting towards the supply chain to identify hot spots to tackle, efforts to keep materials in the loop and how emerging technology concepts face new circularity challenges. The presentation comprises examples from carbon accounting, discusses bio-based materials as a potential “green” alternative and sustainability challenges of structural electronics.

Biography
Karsten is Group Manager Product Ecodesign and Circular Materials at Fraunhofer IZM. He has more than 20 years of experience in applied research on sustainability of electronics. Since 2008 he is coordinating large European research and innovation projects in the FP7 and Horizon 2020 programme, including projects on recycling of post-consumer plastics for new electrical and electronic equipment and on eco-design of smart mobile devices. He is involved in projects for the European Commission to shape eco-design and energy label policy for ICT products. Currently he leads a project for scope 3 carbon accounting in electronics supply chains

Sustainable - Green & Trusted
G To top
GlobalFoundries GlobalFoundries Yan, Ran
Semiconductor Enabling Vr/AR as the New Dimension Of Human Connection
Yan, Ran

Yan, Ran
Business Unit Manager
GLOBALFOUNDRIES

Yan, Ran

Abstract
As the world Digitalization is rapidly accelerating and providing real benefit to each one of us, a spate of emerging technologies—especially, artificial intelligence (AI), virtual reality (VR), and augmented reality (AR) —are gaining prominence across industries. These technologies have their unique capability to reduce the distance between people and deliver fully immersive experiences in all kinds of environments. The power of VR/AR combined with AI also allows machines to operate at the cognitive level as humans and allows us to interact naturally with machines. Such emerging technologies bring huge opportunities to semiconductor, but also new challenges that need our attentions: see-through near eye microdisplay, real-time image sensing & processing, low-weight low-power for round the day usage. Those requirements are not only challenging for design house, but also for semiconductor technology. Instead of chasing down the advance node, the industry needs to collaborate vertically and find the right trade-off between speed, power and cost. In GlobalFoundries, we are taking up on this challenge with our partners to enable the next-generation VR/AR products based on our unique solutions. GlobalFoundries® (GF®) Microdisplay solutions are optimized to improve process speed and reduce leakage while enabling enhanced pixel driver functionality.

Get
High density area and leakage reduce with technology node shrinking
It significantly speeds up VR/AR applications to support real-time data analysis and edge computing. Our platform is compatible with multiple display technologies, like LCOS, and microLED.
LCOS microLED
22FDX Supports pixel size down to 2.5um with up to 2K x 2K resolution. Mirror reflectivity >65% at 450nm-650nm wavelengths. 22FDX Supports pixel size down to 2.5um. Supports ultra-high density ultra-low leakage Memory-In-Pixel design.
Globalfoundries microdisplay solutions
We are aiming to enable our technology down to 22nm to reach 4K resolution within 2 inches diagonal MicroDisplay. There are still more challenges to overcome before widespread consumer VR/AR applications. However, with our effort to advance foundry technology and collaboration with industry partners, in the not-too-distant future, we will see AR everywhere in our life and connect us in real-time without any “distance”.

Biography
After 10 years working in semiconductor and GLOBALFOUNDRIES® (GF®), I am so proud to be part of this vital industry and hold my exciting position as business line manager for Human-Machine-Interaction (HMI) products. My vision is to reshape HMI technologies all over the world and enable the AR/VR foundry solution with a special focus on MicroDisplay and image sensors. In GF®, we know we cannot do it alone. That is why I am glad to have our industry partners, research institutes, and government bodies support us, especial in Europe. In addition, we must have human needs in our hearts and do not forget about the minorities. Therefore, I am also a Diversity & Inclusion Partner in our German site to build better technology, a better workplace, and a better society. I hold an EMBA from ESCP Business school and a Ph.D. in Microelectronic Engineering from the National University of Ireland, Cork.

The Future of Computing Hardware
GlobalFoundries GlobalFoundries Trewhella, Jean
Enabling Solutions through Packaging Enablement
Trewhella, Jean

Trewhella, Jean
Director of PostFab Engineering
GlobalFoundries

Trewhella, Jean

Abstract
Foundries traditionally support customers with technology level PDK (Physical Design Kit) which is independent of the intended package. With applications like 5G, AI and ML demanding increased performance and lower cost there is a need for new levels of chip package co-design. GlobalFoundries is driving definition of an Assembly Design Kit which brings in the package ground rules (DRC), electrical performance (PEX), and layout into a validated reference flow effectively extending the silicon PDK for designers.

Biography
JEAN M. TREWHELLA is Director of Packaging Technology Integration for GLOBALFOUNDRIES, located in Malta, NY and the Past President of IEEE EPS. She received her B.S. in Physics from Antioch College (1987) and M.S. in Applied Physics from Columbia University (1992). Her early career at IBM Research included polymer optical waveguides fabrication, electrical modeling, and opto-mechanical package design for data communication systems. She moved into IBM Systems Group to lead a team developing interconnect hardware for IBM servers/ then transitioned to IBM Microelectronics as Director of IBM Packaging Research and Development Center. Currently at Globalfoundries she is responsible for PostFab New Product Introduction, CPI, and Package Enablement for GlobalFoudnries Technologies.

Advanced Packaging Conference
H To top
Henkel Electronic Materials Henkel Electronic Materials de Wit, Ruud
Thin Cu Plate-able Dielectric Material Developments for RF and Power Device Miniaturization
de Wit, Ruud

de Wit, Ruud
Business Development Manager EMEA
Henkel Electronic Materials

de Wit, Ruud

Abstract
Smart Electronics’ market trends like 5G, 6G and ADAS are driving advanced semiconductor packaging innovations towards higher functionality, enhanced connectivity at higher frequencies, RF signal interference isolation (shielding), smaller form factors (miniaturization) and reduced power consumption. To meet these future demands, semiconductor package designs continue to evolve towards challenging System-in-Package, Antenna-in/on-Package and Wafer Level architectures. Especially for next generation RF and Power devices, antennas and radars, the thermo-mechanical, thermal resistance and (di)electric properties of the assembly and packaging materials play a key role as well as fast and low temperature processing/curing. Exploring development work together with laser specialist LPKF last year resulted in a new SVHC free and low warpage Liquid Compression Molding (LCM) encapsulant suitable for Laser Direct Structuring. Deposition of 25/25um L/S Cu tracks and Cu plating of blind vias down to 50um have been demonstrated as presented during Advanced Packaging Forum in February this year. This APC presentation will cover further product development and test work together with LPKF on a new STENCIL PRINTABLE encapsulant aiming for <50um thin dielectric layers with 15/15um L/S Cu tracks and <50um Cu plated blind vias. Next to more functionality in same or even smaller package footprint, this “direct and additive Cu formation” technology can also deliver significant cost savings by introducing only three back-end approved processing steps of molding, lasering and plating (vs typically seven costly and time-consuming semi-additive processing steps like seed layer sputtering, masking, lithography, development, Cu plating, mask removal and flash etching).

Biography
Ruud de Wit is responsible for managing Henkel's Semiconductor, Sensor & Consumer Electronics Assembly Materials business development within EMEA region. Ruud has a BSc degree in Mechanical Engineering followed by several polymer, sales and marketing courses. Ruud is working for Henkel since 1990 in multiple positions including technical customer support, quality assurance and engineering, and global semiconductor account and product management. Last couple of years, Ruud's main focus is on exploring and driving new semiconductor packaging material development needs within Henkel to enable potential customers to design smaller RF and Power devices.

Advanced Packaging Conference
I To top
IC'Alps IC'Alps Bernard-Moulin, Elsa
Application-Specific Integrated Circuits Pave the Way to New Innovative Electro-Therapies for Cardiology and Neurology
Bernard-Moulin, Elsa

Bernard-Moulin, Elsa
Marketing Manager
IC'Alps

Bernard-Moulin, Elsa

Abstract
Cardiology is the second-biggest medical device area by sales on account of rising prevalence of cardiovascular diseases (CVDs) – number one cause of death worldwide –, favorable reimbursement policies, growing geriatric population and increasing cost of CVD management. EvaluateMedTech estimates that the cardiology market could hit a valuation of nearly $75 billion worldwide by 2024, dominated by few medtech companies.When drug based therapies of cardiovascular diseases are not sufficient or do not have enough care efficiency, one growing curative approach of patients is to use dedicated Active Implantable Medical Devices (AIMD) leveraging Application-Specific Integrated Circuits – so called ASICs –. Trends to watch in ASIC-powered medical devices include energy harvesting and battery management for long-term care, less invasive technologies for patient comfort, machine learning for predictive analytics and personalized treatment, simultaneous and continuous monitoring of biosignals for simpler and accurate diagnostics, and cybersecurity for patient safety. As these novel approaches enter the market, we are seeing HealthTech startups tackling heart failure with innovative products such as leadless pacemakers, cardiac contractility modulation devices or cardiac micro-current devices.Microelectronics and Application-specific Integrated Circuits (ASIC) could not only broaden the competitive landscape in cardiology, they are also revolutionizing our medical approach to neurology: spinal cord, vagus nerve or peripheral nerves neurostimulation, brain fine monitoring and electrostimulation, etc.Relying on advanced design techniques developed through decades of experience in the downscaling of power consumption coupled to the highest integration level, IC’Alps proposes custom integrated circuits embedding nanopower electrogram (ECG/EGM/EEG…) with very limited Bill of Material (BoM), ultra-efficient Power Management Units (PMU), power optimized neurostimulation stages and many more blocks to build a customized electronics for AIMD manufacturers. Such disruptive IC design architectures have proven their effectiveness in improving quality of healthcare and patient’s lives in many applications.In the near future, implantable devices based therapies that have never been envisioned will be possible with our technology.

Biography
Elsa is Marketing Manager at IC'Alps since 2019.She is currently responsible for market analysis, global promotion of IC'Alps' design expertise, stimulation of the ecosystem, identification of new business & partnership opportunities and supports R&D topics.She started her career in the semiconductor industry in 2008 and covered different topics ranging from silicon IP blocks, semiconductor equipment and ASIC design & supply services.

SMARTx - SMART MedTech
imec imec Van den hove, Luc
The Power of Deeptech: A Tale of Bits, Molecules and Ecosystems
Van den hove, Luc

Van den hove, Luc
President & CEO
imec

Van den hove, Luc

Abstract
Today, we are at the dawn of the 5th disruptive innovation wave. This emerging fifth wave, the deeptech wave, builds on the convergence of technologies such as AI, material science, biology, semiconductors … to disrupt virtually every aspect of the physical world we live in. Semiconductors will be the core of many deeptech innovations thanks to their massive integration power, accessible mass production and low cost. To enable these deeptech innovations, Moore’s law will have to continue to address insatiable demands for more computation and more storage. We will realize Moore’s law by a multitude of approaches: continue traditional scaling, new devices, new switches, leverage the use of the third dimension, and paradigm shifts on how to build future systems. The challenges to bring these innovations to the market are huge. Therefore, we will have to approach this evolution through a major ecosystem, bringing together the right companies, the right R&D resources, perform this in leading-edge infrastructure, with the right funding and government support.

Biography
Luc Van den hove is President and CEO of imec since Juli 1, 2009. Before he was executive vice president and chief operating officer. He joined imec in 1984, starting his research career in the field of silicide and interconnect technologies.In 1988, he became manager of imec’s micro-patterning group (lithography, dry etching); in 1996, department director of unit process step R&D; and in 1998, vice president of the silicon process and device technology division. In January 2007, he was appointed as imec's EVP & COO. Luc Van den hove received his PhD in electrical engineering from the KU Leuven, Belgium.He has authored or co-authored more than 200 publications and conference contributions.

Executive Forum 1
IMEC vzw IMEC vzw Ashby, Thomas
Privacy Preserving Amalgamated Machine Learning (PAML) in the Fab, and machine learning workflow in the MADEin4 project
Ashby, Thomas

Ashby, Thomas
Senior Research Engineer
IMEC vzw

Ashby, Thomas

Abstract
This talk will cover two main topics. The first is privacy preserving machine learning in the fab. The second is recent machine learning results in the MADEin4 project relating to the BEOL data of the TITAN platform. In the first part we will illustrate the main concepts behind PAML and how they would apply in a fab setting, with a worked example for illustration. In the second we will report on the application of machine learning to the analysis of metrology and associated data in the experimental workflow that has been developed at Imec for the project, including some recent results in the development of automatically learned models.

Biography
Thomas Ashby received his PhD from the University of Edinburgh on computational and computer science, focusing on the programmability and performance of computational solvers for scientific computing, in particular for Quantum Chromodynamics, incorporating algorithmic analysis, use of high level languages and compiler optimisations. He joined Imec (Leuven, Belgium) in 2007 as a research engineer and has worked on parallel programming tools, machine learning, and HPC. His research interests include applying machine learning to privacy sensitive data in fabs, and general machine learning work flows in the semi-conductor and materials engineering space.

From Reactive to Predictive: Smart Manufacturing in the Semiconductor Industry – The MADEin4 Initiative
INFICON INFICON Behnke, John
Smart to the Rescue!
Behnke, John

Behnke, John
GM Final Phase Systems
INFICON

Behnke, John

Abstract
The semiconductor industry and its supply chain struggle have made the global news almost daily in 2021. This has led many countries to create Semi specific investment initiatives to improve their domestic production of chips to better control their supply chain. These efforts include funding proposals for new regional fabs as well as improving existing production capabilities. This presentation will not comment on the political motivations of these efforts but will instead focus on the criticality of Smart Manufacturing solutions to these efforts. Whether getting more capacity out of existing fabs, enabling fabs run a broader mix of technologies and products for a longer period or to ensure a new site is built with tomorrow’s technology instead of yesterday’s Smart Manufacturing is key. We will review the elements of Smart Manufacturing that can be rapidly deployed in an existing fab to deliver high ROI through improvements in output and cycle time. These initial key elements are intended to require few fab resources to deploy since they are spread thin today. Quickly establishing these capabilities can help maintain a company’s historical supply reputation during these high demand times. Follow on additional Smart Solutions can be added to further improve existing fabs. Green Field new fabs offer more opportunities to leverage Smart solutions but will not deliver significant output for years due to the time required to build. A “Must Have” list of existing and in development Smart solutions for these fabs will also be reviewed.

Biography
Mr. Behnke has 35 years of semiconductor industry experience including: logic and memory manufacturing, technology/product development and fab operational excellence. As the GM of Final Phase Systems an INFICON Product Line, John leads a team that develop and deploy SMART software solutions that enable fabs to improve their manufacturing efficiency. FPS’s suite of software solutions are built upon a common Datawarehouse which enables advanced Fab Scheduling and optimized WIP movement as well as other related capabilities. He is also a Co-Chair of the Semi North America Smart Manufacturing Special Interest Group. Prior to FPS John served as the CEO and President of Novati Technologies, the SVP and GM of the Semiconductor Group of Intermolecular, the CVP for Front End Manufacturing, Process R&D and Technology Transfers at Spansion and the Director of AMD’s Fab 25’s Engineering and Operations groups where he was a founding member of AMD’s Automated Precision Manufacturing (APM) initiative which led the Semiconductor industry’s development and use of APC and other advanced factory systems. He also led the successful conversion of Fab 25 from Logic to Flash memory which was enabled through the virtual automation of the fab.Mr. Behnke earned a B.S. degree in Mechanical Engineering with an Industrial Engineering Minor from Marquette University. Mr. Behnke holds five U.S. patents.

Fab Management Forum
Infineon Technologies Austria AG Infineon Technologies Austria AG Herlitschka, Sabine
At the crossroad: Strategic considerations for chip manufacturing
Herlitschka, Sabine

Herlitschka, Sabine
CEO and CTO Infineon Technologies Austria AG
Infineon Technologies Austria AG

Herlitschka, Sabine

Abstract
The global semiconductor shortage and various initiatives by policy makers have highlighted the new importance of semiconductors. With the Chips Act, European policymakers want to create a state-of-the-art chip ecosystem, putting them on par with other economies in semiconductor manufacturing. In the past year, only two new chip factories have been built in Europe. The presentation shows how the global leading chip manufacturer Infineon Technologies is using new smart factories and cross-site production concepts to serve global customers more flexibly, to increase the resilience in the supply chains and to also strengthen technology sovereignty.

Biography
Sabine Herlitschka is Chief Executive Officer and Chief Technology Officer of Infineon Technologies Austria AG.Her professional career includes industrial biotechnology research, international cooperation and financing in technology and innovation, Internships at leading organizations in the USA, Fulbright Scholarship at George Washington University and Johns Hopkins University, as well as founding Vice-Rector for Research Management and International Cooperation at the Medical University of Graz, Austria.Before joining Infineon Technologies Austria, Herlitschka was Director of European and International Programmes in the Austrian Research Promotion Agency. For almost 25 years she has been frequently involved in European Research as advisor, project coordinator and evaluator, as well as participant and Chairperson in strategic European & international expert groups. Amongst others, she has been elected Chair of the Governing Board of the 5 bn Euro European Public Private Partnership ECSEL-Electronic Components and Systems for Electronic Leadership, she is Vice-Chair of the Austrian Council for Research & Technology Cooperation, as well as member of the Senate of the German Fraunhofer Society.Herlitschka holds a Ph.D. in Food- and Biotechnology and a Master of Business Administration.

Executive Forum 3
Intel Corp. Intel Corp. B. Kelleher, Ann
Next Decade of Semiconductor Innovation in Europe
B. Kelleher, Ann

B. Kelleher, Ann
Senior Vice President and General Manager of Technology Development
Intel Corp.

B. Kelleher, Ann

Abstract
coming soon

Biography
Dr. Ann B. Kelleher is senior vice president and general manager of Technology Development at Intel Corporation. She is responsible for the research, development and deployment of next-generation silicon logic, packaging and test technologies that power the future of Intel’s innovation.Previously, Kelleher was general manager of Manufacturing and Operations, where she oversaw Intel’s worldwide manufacturing operations including Fab Sort Manufacturing, Assembly Test Manufacturing and strategic planning, as well as corporate quality assurance and corporate services. Before that, she served as co-general manager of the Technology and Manufacturing Group.Kelleher joined Intel in 1996 as a process engineer, going on to manage technology transfers and factory ramp-ups in a variety of positions spanning 200mm and 300mm technologies. She started her manufacturing leadership journey as the factory manager of Fab 24 in Leixlip, Ireland. She has also been the site manager of Intel’s Fab 11X fabrication facility in Rio Rancho, New Mexico, and plant manager of Intel’s Fab 12 facility in Chandler, Arizona. She then became general manager of the Fab Sort Manufacturing organization where she was responsible for all aspects of Intel’s high-volume silicon manufacturing.Kelleher holds a bachelor’s degree, a master’s degree and a Ph.D. in electrical engineering, all from University College Cork in Ireland.

Executive Forum 3
IO Tech IO Tech Birnbaum, Ralph
Laser Assisted Deposition for Electronics Mass Production
Birnbaum, Ralph

Birnbaum, Ralph
Director of Business Development
IO Tech

Birnbaum, Ralph

Abstract
We can divide all printing technologies into non-digital and digital methods. Usually, the non-digital methods are used for high throughput printing. The most common are screen printing and stamp pressure printing.Digital printing techniques can be divided into nozzle based and laser-based technologies. The former uses a physical hole to ensure the printing volume/resolution, while in the latter the resolution is defined by the size of the focused beam. The nozzle-based technology (NBT) can be operated using either of two dispensing modes. The first, is the mode which underlies the inkjet technology and is called “drop on demand”. The material is printed drop by drop. In the second mode, which is used in micro-extrusion printers, the material is printed continuously. Nozzle based technologies allow printing of a large range of material types, with robust and stable properties. For this reason, this method is very useful for die bonding and different assembly applications. A major drawback however, is the requirement for constant maintenance due to clogging of the nozzles. There is an undesired trade-off here between throughput and resolution. The speed of the system decreases in proportion to the resolution. In fact most of these systems are “single nozzle” as opposed to standard inkjet which includes hundreds of nozzles in parallel The precision and speed is limited by the constraints of the motorized system. Another method is LAD (Laser Assisted Deposition) also called LIFT (Laser Induced Forward Transfer). In it, a carrier substrate is coated with the material to be printed, and a pulsed laser beam is focused onto its interface with the material. The incident laser pulse is absorbed by a thin layer of the donor material. At sufficiently high laser pulse energy, a drop of the printing solution is locally deposited on the printing substrate. The physical process behind this consists of a fast evaporation of the solvent which causes bubble formation and vapor expansion, forming the jetting of a droplet. LAD is a nozzle free drop-on-demand method. It is therefore possible with LAD to print practically all flowable materials.This paper describes the basics of LAD and presents a few applications in electronics, where each one emphasizes certain capabilities of the method. Amongst these capabilities are the printing quality and resolution, and the ability to print high viscosity materials printing in 2D and 3D shapes.

Biography
Ralph BirnbaumHerve JaviceGuy NesherMichael Zenou

Advanced Packaging Conference
J To top
JCET JCET Antonicelli, Roberto
From SoC to Chiplets: Harnessing the X-Dimension of Fan-Out Packaging
Antonicelli, Roberto

Antonicelli, Roberto
Director, Field Applications Engineering
JCET

Antonicelli, Roberto

Abstract
The increasing demand for high bandwidth memories and powerful cores require new and cost-effective solutions to achieve flexible and scalable system integration.The semiconductor industry is moving from large, complex and costly single-chips (SoC) strategy towards multiple, integrated and hybrid functional blocks (chiplets) interconnected in diverse, heterogeneous ways.Roberto Antonicelli (MSEE, PhD)Director, Automotive BU

Biography
Roberto Antonicelli is a professional with over 20 years of experience in the semiconductor industry. At JCET (formerly STATS ChipPAC) he drives Automotive Business Development for US, Europe and Middle-East. Prior to joining STATS ChipPAC in 2010, he has held diverse R&D positions at Infineon Technologies, Alcatel Microelectronics and ST Microelectronics. Roberto obtained his MSEE and PhD from Polytechnic University of Bari, Italy, respectively in 1997 and 2002. Roberto lives in France together with his wife and their three children.A multi-dimensional approach, combined with fine pitch flip-chip and ultra-high-density fan-out processes, may represent a valid solution to achieve extreme levels of integration and significant cost-savings.

Advanced Packaging Conference
K To top
Kistler Instrumente Kistler Instrumente Hillinger, Robert
Monitor Mechanical Stress and Damage in Advanced Packaging
Hillinger, Robert

Hillinger, Robert
Business Development Manager
Kistler Instrumente

Hillinger, Robert

Abstract
AI, 5G, IoT, ADAS, AR/VR and other new applications is giving the semiconductor industry plenty of growth opportunities. With the adoption of these technologies the pressure is on, to increase performance. The industry is using the power advantages of lower technology nodes and Advanced Packaging to put increased functionality on a single small form-factor which makes production processes even more challenging. This advancements in semiconductor technology and added device complexity put additional pressure on monitoring and controlling Semiconductor packaging processes. The optimization of processes is a pre-condition for high reliability which is achieved by selecting appropriate materials and controlling critical process parameters. Currently Chip test, monitoring and control of packaging processes is widely done via optical and displacement Sensors. Improved methods for process monitoring and failure identification are needed to maintain or improve the quality and yield of a packaging process.The physical force quantity causing a device failure may not be accessible to conventional measuring methods but is equally important to control and monitor production processes such as bonding, pick and place and encapsulation.Piezo dynamic force measurement technology allows force to be monitored and controlled with high resolution even at low forces. As a result, deviations can be detected early, errors avoided, and Semiconductor Advanced Packaging Equipment builders can achieve higher and more accurate machine performance. Semiconductor Manufacturing-Packing companies in the semiconductor industry benefit from higher process visibility, performance, lower quality cost and traceability of process data.

Biography
Robert Hillinger has a degree in Electrical Engineering from the HTL Mödling in Austria. Since his studies he has worked as an Electrical Engineer, Product Manager and Business Manager in the Automation Industry. In 2018 he joined Kistler Instrumente in Winterthur, Switzerland who is a leading Measurement Company with own R&D, Production and global presence. Robert Hillinger works as Business Development Manager and supports Semiconductor customers to get better process visibility with Piezo Force Sensor Technology.

Advanced Packaging Conference
KLA Corporation KLA Corporation Donzella, Oreste
Challenges and Opportunities in Semiconductor Packaging
Donzella, Oreste

Donzella, Oreste
Executive Vice President
KLA Corporation

Donzella, Oreste

Abstract
After few decades being driven by a single end-driver, the semiconductor industry is now the driving force behind a multitude of new data-driven applications, which are revolutionizing our lives.The diversification of end-demand across several industries, such as network infrastructure, mobile, data computing, and automotive is driving unprecedented demand of semiconductor devices and continuous advancement in the technology roadmap.For over 50 years, Moore’s Law dictated the pace of this roadmap with the ability of scaling transistor density every 2 years. While lateral scaling is still happening in frontend semiconductor fabrication, it’s also becoming more and more expensive, requiring new ways to optimize performance vs. costs.In the last few years, the role of IC packaging technology has shifted from protection to performance enablement with the rise of advanced flip chip, wafer level packaging and heterogenous integration.We will continue to see a steep increase in new packaging types and, with interconnect geometry scaling and disaggregation into chiplets, each die will become the weakest link in the new multi-die integrated packages, requiring drastic improvements in process control and sorting methodologies.More than 25 years ago, KLA brought a new vision into frontend semiconductor fabrication with in-line monitoring. Few companies initially embraced this concept to accelerate yield improvement, but few years later, it has eventually become an industry standard. This is what is happening in packaging right now. Only with a more rigorous process control methodology, bumping and assembly lines can overcome the unprecedented challenges with technology shrink and multiple die integration.In 2020, KLA introduced the new Electronics, Packaging, and Components (EPC) group to help new industries, such as packaging, to adopt the frontend semiconductor best practices in terms of process control methodologies and process technologies. In the last several months, EPC organization has been working close to the top IDMs, foundries and OSATs to target the most critical challenges and develop a portfolio of products and solutions that will help the packaging industry to advance and become a key enabler of semiconductor technology roadmap.

Biography
Oreste Donzella serves as Executive Vice President of the Electronics, Packaging and Component (EPC) business group at KLA Corporation, which include multiple product divisions, targeting growth opportunities in specialty semiconductors, packaging, printed circuit board and display markets.Previously, Oreste was the Chief Marketing Officer (CMO) of KLA. In this role, he oversaw corporate marketing activities, market analytics and forecast, and company-wide collaborations with the broad electronics industry.Prior to his CMO role, Oreste led the world-wide field applications engineering team, and was responsible for Customer Engagement projects and product portfolio optimization for wafer inspection platforms at KLA.Previously, Oreste was Vice President and General Manager of the Surfscan and SWIFT divisions at KLA-Tencor. In these positions, Oreste was responsible for the unpatterned wafer inspection, wafer geometry, and macro inspection business, overseeing new products development, sales, and marketing activities, customer support, and ultimately, division financial performance (P&L).Oreste brings 28 years of experience in the semiconductor industry. Prior to joining KLA in 1999, he spent more than six years at Texas Instruments and Micron Technology, holding engineering and management positions in the process integration and yield enhancement departments.Oreste currently serves in SEMI North America advisory board.Oreste earned his master’s degree in electrical engineering from the University La Sapienza in Rome, Italy.

Executive Forum 3
M To top
McKinsey & Company, Inc. McKinsey & Company, Inc. Burkacky, Ondrej
A Changing Market for Semiconductors
Burkacky, Ondrej

Burkacky, Ondrej
Senior Partner
McKinsey & Company, Inc.

Burkacky, Ondrej

Abstract
The semiconductor shortage is a omnipresent topic across almost all industrial verticals. We will explore several root causes for the shortage and discuss how and when they could be removed. We will look into initiatives players take to deal with the shortage.

Biography
Ondrej Burkacky is a Senior Partner in McKinsey’s Munich office. He leads globally McKinsey’s semiconductor sector and serves players across the semiconductor value chain. His functional focus is on strategy, operations and R&D.

Fab Management Forum
Merck KGaA Merck KGaA Wicklandt, Petra
Merck's Sustainability Strategy and our expectation to European Legislation
Wicklandt, Petra

Wicklandt, Petra
Senior Vice President, Head of Corporate Sustainability, Quality and Trade Compliance
Merck KGaA

Wicklandt, Petra

Abstract
Merck defines a 'sustainable company' as one that uses its core business practices to drive long-term value creation in environmental, social, governance, and financial terms for itself, the stakeholders, and the society. We are committed to implement sustainability in all our 3 business sectors: Electronics, Healthcare and Life Science. Sustainability provides for each sector opportunities and challenges. The Electronics industry is rapidly changing and growing. Decoupling economic growth and greenhouse gas emissions is just one example of the challenges we need to master.Our ambition is to leverage science and technology to achieve lasting progress for mankind. For us, sustainable entrepreneurship and profitable growth go hand in hand. We can ensure our own future competitiveness only by creating value for society.At the same time, we endeavor to avoid generating subsequent costs for society.​ ​ By creating value for society, all our businesses are committed to tackle the challenges laid out in the 17 global UN Sustainable Development Goals (SDGs). Sustainability is an essential component of our Group strategy.We have defined three overarching goals within our sustainability strategy:- ​In 2030, we will achieve human progress for more than one billion people through sustainable science and technology. - ​By 2030, we will integrate sustainability into all our value chains.- By 2040, we will achieve climate neutrality and reduce our resource consumption. ​ ​In working towards these objectives, we are helping reach the global UN Sustainable Development Goals (SDGs). Through our business and our behavior, we contribute the most to the following five SDGs:- SDG No. 3: Health and Well-being- SDG No. 8: Decent Work and Economic Growth- SDG No. 9: Industry, Innovation and Infrastructure- SDG No. 12: Responsible Consumption and Production- SDG Nr. 17: Partnerships for the GoalsThe European legislation with its new Green Deal will be a critical component to support and enable European companies with their sustainability ambitions and implementation plans. We will describe our expectations to the European Legislation.

Biography
Petra Wicklandt is Head of Corporate Sustainability, Quality and Trade Compliance at Merck KGaA Darmstadt. Her responsibility comprises driving and executing Merck´s Corporate Sustainability Strategy and ensuring Corporate Regulatory Compliance in terms of Quality, Chemical Regulations, Environment, Health and Safety, Animal Welfare, Trade Compliance, Corporate Security and Crisis Management.Before she was Head of Corporate Affairs overseeing Government & Public Affairs focusing on the three business sectors Healthcare, Life Science and Electronics with local and regional teams in Darmstadt, Berlin, Brussels, Boston, Washington D.C., Beijing and Shanghai. Petra’s responsibility also comprised Group Corporate Sustainability including the healthcare specific aspects like Global Health, Access to health and Merck´s Program to fight Schistosomiasis, Bioethics and Digital Ethics.Before Petra was Global Head of Chemical & Pharmaceutical Development in Healthcare R&D. During this time Petra served at the R&D governance bodies and led several cross-functional projects to improve cycle times and the probability of success of preclinical and clinical development.Previously Petra was also the Site Head for Merck´s R&D in the Headquarters in Germany. This responsibility included cross-functional change & infrastructure projects, health & safety as well as quality related projects and site-specific investment planning.Petra has also extensive experience in Healthcare Production. She was formerly Head of Parenteral and Liquids Production and served as acting Head of Pharmaceutical Production in Germany. She was responsible for refurbishment and structural re-organization of this production unit.Petra joined Merck in 1994 after holding a position at DuPont Pharma in the Medical and Scientific Information Department.Petra holds a degree in Pharmacy and received her PhD in Pharmaceutical Technology from the Johannes Gutenberg University, Mainz in Germany. Petra is married with 2 children and resides in the Rhein-Main Area in Germany.

Executive Forum 2
Micro Systems Technologies Micro Systems Technologies Martina, Manuel
Advanced Materials and Interconnection Technologies for Highly Miniaturized IoT Modules
Martina, Manuel

Martina, Manuel
Head of Strategy
Micro Systems Technologies

Martina, Manuel

Abstract
Standardization of semiconductor packaging and interconnection technology is a key aspect to allow for large scale manufacturing and commercialization. However, to achieve maximum performance and higher integration density allowing for smallest form-factors, precisely tailored solutions and the application of novel and unconventional materials and interconnection concepts must be chosen, while manufacturability needs to be preserved.In the first part of this work, novel materials, assembly, interconnect, and packaging processes were developed, which can be combined to realize highly miniaturized, hermetic IoT devices.Applying this toolbox, a technology platform to manufacture customized Systems-in-Package (SiP) for IoT applications was developed. The base components are integrated in a SiP with through-package-via (TPV) interconnections and are soldered to an advanced flexible substrate. On top of the SiP, a second interconnection substrate with a customizable sensor configuration can be attached. On the bottom level, the flexible substrate allows for several additional system components to be attached. In a final step, the whole system can be miniaturized or custom-shaped by folding the substrate into the desired form factor.To realize advanced flexible substrates, novel processes to structure and manufacture liquid crystal polymer (LCP) circuits were developed. Resulting, flexible LCP substrate with lines/spaces down to 15/15 µm were achieved which offer flexibility for folding, biocompatibility, and chemical inertness. Notably, a special lamination process was developed which allows to encapsulate copper traces as well as to embed semiconductors and passives inside the LCP substrate without forming interfaces as it is the case with bond sheets. Resulting, hermeticity could be achieved while drastically increasing reliability. Furthermore, LCP offers low radio-frequency dielectric losses and a stable dielectric constant.A miniaturized IoT-Module with a volume of only 1.3 cm³, a broad range of sensors including a microphone and electrochemical measurements, in a hermetic housing with electrical feedthroughs in LTCC, with wireless charging and an IoT-SiP was designed and manufactured to demonstrate this packaging approach. The module can be connected to any smartphone via Bluetooth Low Energy (BLE) and allows to monitor temperature, pressure, sound, impedance, illumination, position, and acceleration even under harsh environments and in liquids.

Biography
Manuel Martina received the B.Sc. degree in physics from RWTH Aachen University, Aachen, Germany, in 2011, the M.Sc. degree in physics as well as the Ph.D. degree for his work in near-field optics and microfabrication/nanofabrication from the Eberhard Karls University of Tübingen, Tübingen, Germany, in 2014 and 2017, respectively.He worked as a Researcher in several fields of microsystems technology and on the interface to biology with the Natural and Medical Sciences Institute, Reutlingen, Germany. From 2017 on, he was working as Manager of Next-Generation Products with the Research and Development Department at Schweizer Electronic AG, Schramberg, Germany. His research included semiconductor embedding and packaging in high-end printed circuit boards for future automotive radar and industrial 5G applications, and for future power electronics. Since 2021, he is working at Micro Systems Technologies in the fields of advanced semiconductor packaging and packaging substrates.

Advanced Packaging Conference
N To top
Nearfield Instruments Nearfield Instruments Sadeghian, Hamed
Opportunities and challenges of high-throughput 3D metrology equipment for semiconductor process control
Sadeghian, Hamed

Sadeghian, Hamed
CEO
Nearfield Instruments

Sadeghian, Hamed

Abstract
In the semiconductor industry, Moore's law comes with increasing and complex demands and the need for advanced process control metrology. Traditional metrologies like OCD or CD-SEM lose sensitivity due to diminishing interaction volume. A metrology technique that thrives in this regime is Atomic Force Microscopy (AFM).AFM is a technique currently used in process and integration development because it can provide reference-level local imaging and metrology. Unique strength over competing metrology techniques includes the potential for undistorted, local high-resolution information. Two factors are currently limiting deployment of AFM tools for inline process control: 1) ability to fully resolve deep, narrow structures and 2) throughput compatible with other metrologies currently deployed in High Volume Manufacturing (HVM). Here, we discuss the advantages of a multi-head AFM system with miniaturized high-speed AFMs working in parallel. In addition, we extend traditional AFM techniques to selective imaging and metrology of subsurface 3D structures and show a path to enabling Overlay metrology through opaque hard mask layers.

Biography
Dr. Hamed SadeghianCo-Founder, President and CEO of Nearfield InstrumentsHamed Sadeghian received his PhD (Cum Laude) in 2010 from Delft University of Technology. Four years later he received an MBA degree from the Vlerick Business School in Belgium. He is the founder (2001) of Jahesh Poulad Co., a manufacturer of mechanical equipment.Hamed worked as a system architect and leaded a team of thirty researchers in nano-optomechatronics instrumentation at TNO in Delft from 2011 to 2018. In 2016 he co-founded Nearfield Instruments and is currently president & chief technology officer at this scale-up that recently sold its first metrology instrument to a high-end chip manufacturer.Hamed Sadeghian is a part time associate Professor at the Technical University of Eindhoven. He holds more than 70 patents, and published over 100 peer-reviewed technical papers. He is currently also a principal scientist and Kruyt member of TNO.

Advancements in Wireless Tech
Nexperia Nexperia Mohamed, Sajid
IMOCO4.E: Intelligent Motion Control under Industry4.E
Mohamed, Sajid

Mohamed, Sajid
Principal Software Engineer
Nexperia

Mohamed, Sajid

Abstract
The IMOCO4.E target is to provide vertically distributed edge-to-cloud intelligence for machines, robots and other human-in-the-loop cyber-physical systems having actively controlled moving elements. They face ever-growing requirements on long-term energy efficiency, size, motion speed, precision, adaptability, self-diagnostic, secure connectivity or new human-cognitive features.IMOCO4.E strives to perceive and understand complex machines and robots. The two main pillars of this project are digital twins and AI principles (machine learning/deep learning). These pillars build on the IMECH reference framework and methodology, by adding new tools to layer 3 that delivers an intelligible view on the system, from the initial design throughout its entire life cycle. For effective employment, completely new demands are created on the Edge layers (Layer 1) of the motion control systems (including variable speed drives and smart sensors) which cannot be routinely handled via available commercial products. On the ground of this, the subsequent mission of this project is to bring adequate edge intelligence into the Instrumentation and Control Layers, to analyse and process machine data at the appropriate levels of the feedback control loops and to synchronise the digital twins with either the simulated or the real-time physical world. At all levels, AI techniques are employable. Summing up, IMOCO4.E strives to deliver a reference platform consisting of AI and digital twin toolchains and a set of mating building blocks for resilient manufacturing applications. The optimal energy-efficient performance and easy (re)configurability, traceability and cyber-security are crucial.The IMOCO4.E reference platform benefits will be directly verified in applications for semiconductor, packaging, industrial robotics and healthcare. Additionally, the project demonstrates the results in other generic “motion-control-centred” domains. The project outputs will affect the entire value chain of the production automation and application markets. Through the further evolved I-MECH methodology, it creates a sustainable proposition, such as “digital twins as a service” or “machine design as a service”, for the ongoing smartification of industries and shortening of innovation cycles.

Biography
Sajid Mohamed is a principal software engineer at Nexperia ITEC in the R&D innovation team.Gijs van der Veen is a motion control architect at Nexperia ITEC, working on next-generation semiconductor assembly equipment.Nexperia ITEC is a semiconductor equipment and automation technologies provider with over 30 years of experience that enable the production of over 90 billion devices annually. Within IMOCO4.E, ITEC is a work package leader and provides a pilot application as a case study.

Edge-to-Cloud Intelligence for Resilient Manufacturing – The IMOCO4.E Initiative
Nova Nova Ilgayev, Ovadia
Recent Innovations in Integrated Metrology
Ilgayev, Ovadia

Ilgayev, Ovadia
Product Manager
Nova

Ilgayev, Ovadia

Abstract
Integrated metrology (IM) is the workhorse metrology in manufacturing and a key enabler to process control. Integrated tools typically reside on the same platform as the process tool and allow easy, dedicated feed-forward and feedback for much tighter process control. In-die-based W2W (Wafer to Wafer) control is essential for yield performance in advanced technology nodes. Increased complexity of design rules and more process steps add new requirements for integrated metrology. Strong demand to measure directly on the device for better process control, new requirements for measurement of thin residues directly on structure, more parameters to be extracted from each measurement, and tightening the process window require continuous innovations in integrated metrology solutions. All these requirements need to meet sampling and cost of ownership targets for High Volume Manufacturing (HVM) control. Recent developments in artificial intelligence (AI) and Machine Learning (ML) can be implemented with IM solutions to comply with such requirements.ML and AI have exhibited an increased demand in semiconductor fabs, and their presence is rapidly growing. There are multiple reasons to adopt ML solutions in HVM fabs, such as fast time to solution, reduction of measurement error, and high productivity. ML solutions leveraging high accuracy reference metrology data or/and electrical test data have also been proven to optimize measurement sensitivity to actual process excursions that correlate to the electrical data.As a market leader, Nova continues to drive both AI and HW innovations into the IM world. Such innovations include new process control capabilities enabled by AI and advanced Machine Learning algorithms as well as Multi-Channel Integrated metrology. In this work, we will discuss and demonstrate these and other new directions to enhance IM.

Biography
Mr. Ovadia Ilgayev is a semiconductor metrology professional with over 9 years of experience in the field. Mr. Ilgayev has been holding various positions in Nova LTD, such as Application Scientist and Application Team Leader, where he was responsible for application development for R&D activities from initial feasibility experiments and theoretical work to a beta tool at various customer sites. In his current role in Product Management department, he is working on Integrated Metrology solutions, addressing requirements for metrology challenges, adjusting products roadmap, and proliferating solutions in customer sites.Mr. Ilgayev holds a B.Sc degree in Physics and Mathematics, and an M.Sc degree in Biomedical Engineering from Technion, Israel Institute of Technology.

The MADEin4 Project: Driving Smart Manufacturing Excellence in the Semiconductor Industry
NXP Semiconductors NXP Semiconductors Reger, Lars
Accelerating the Secure Intelligent Edge
Reger, Lars

Reger, Lars
Chief Technology Officer
NXP Semiconductors

Reger, Lars

Abstract
Edge Computing cuts across the IoT, from home and work to the most complex of all— (autonomous) transportation. Coupled with rising digitization that leads to everything connected and advanced sensing capabilities, high-performance edge compute platforms are transforming ecosystems and the development landscape. This includes those rooted and initiated in Europe, but with a global reach and purpose. In this talk, NXP CTO Lars Reger will share insights on smart, secure real-time edge platforms that cross IoT markets to learn preferences, anticipate needs, dynamically respond and ultimately lead to seamless connected experiences everywhere.

Biography
Lars Reger is executive vice president and chief technology officer of NXP Semiconductors. As CTO, Lars is responsible for managing new business activities and R&D in the focus markets of automotive, industry 4.0., internet of things (IoT), mobile, and connectivity & infrastructure.Before joining NXP, Lars gained deep insight into the microelectronics industry with a focus on the automotive sector. He began his career with Siemens Semiconductors as product engineer in 1997. His past roles at Infineon included head of the process and product engineering departments, project manager for mobile system chips, and director of IP management. Prior to joining NXP as head of automotive strategy in 2008, he was responsible for business development and product management within the connectivity business unit at Continental. In December 2018, Lars was appointed CTO and has since then been responsible for the overall technology portfolio of NXP. Since April 2019, he has been a board member of the committee for digital economy, telecommunications and media in the German Industry Association. Lars is also on the board of directors of ITS World Congress and a member of the Forbes Technology Council. Lars earned a degree in physics from Rheinische Friedrich-Wilhelms-Universität in Bonn and an MBA from London Business School.

Executive Forum 2
P To top
Philips Philips Dekker, Ronald
Coming soon
Dekker, Ronald

Dekker, Ronald
Principal Scientist
Philips

Dekker, Ronald

Abstract
Coming soon

Biography
Ronald Dekker received his MSc in Electrical Engineering from the Technical University of Eindhoven and his PhD from the Technical University of Delft. He joined Philips Research in 1988 where he worked on the development of RF technologies for mobile communication. Since 2000 his focus shifted to the integration of complex electronic sensor functionality on the tip of the smallest minimal invasive instruments such as catheters and guide-wires. In 2007 he was appointed part time professor at the Technical University of Delft with a focus on Organ-on-Chip and bioelectronics medicines. Since 2013 he has been the initiator of a number of large European initiatives that all have in common the development of open technology platforms for electronic medical devices. In 2018 he initiated the ECSEL joint undertaking Health.E lighthouse. He published in leading Journals and conferences and holds in excess of 70 patents.

Executive Forum 4
Presto Engineering Presto Engineering Patel, Claire
Automotive semiconductor packaging and testing: a paradigm shift to innovation
Patel, Claire

Patel, Claire
NPI Packaging Group Manager
Presto Engineering

Patel, Claire

Abstract
With no two package designs being alike, developing today’s complex semiconductor packages requires experienced package engineers, state-of the art facilities as well as a strong network of highly skilled partners, including a strong day-to-day collaboration with leading EDA software companies.In automotive, the tremendous acceleration of innovation (29% of total cost of vehicles expected to be made of electronics in 2030) leads to deep changes in the way of this industry dealt with semiconductor transitioning from commodity adoption of mature technologies to differentiation by disruption.This differentiation comes as a paradigm shift along very specific requirement such as bill of material, non-standard form factor for our industries, or heterogeneous system in package. Especially EV vehicles and ADAS enabled vehicles demand now packaging able to pass AECQ100/AECQ103 standard with unique property, be integrated into chassis and bodies with extreme operating conditions and while reliability guaranteed. Regarding quality not only the use of new processes or new bill of material requires specifics, out of the standard semiconductor type of qualification plan, but also test coverage for 0 ppm targets.The presentation will touch specific new challenges which assembly and test of automotive ASICs needs to tackle though use cases of automotive high current sensors for battery inverter control, integrated radars and LiDAR, from package integration of heterogeneous materials, thermal flow in advanced grid array packages, and optoelectronics modules.

Biography
Coming soon

Advanced Packaging Conference
R To top
RENA Technologies GmbH RENA Technologies GmbH Kühnlein, Holger
Advanced Silicon Carbide Single Wafer Wet Chemical Etching and Polishing at Ambient Temperature
Kühnlein, Holger

Kühnlein, Holger
Senior Vice President Technology & Innovation
RENA Technologies GmbH

Kühnlein, Holger

Abstract
Silicon carbide (SiC) is the material of choice for next generation power devices in fast growing applications like electromobility and renewable energies. While a strong market demand meets a challenging wafer manufacturing process, material shortage drives substrate costs and limits faster roll out of this technology. Additionally, the well developed and established wet chemical etching and cleaning processes for silicon substrates like RCA clean, SPM and APM do not achieve the required performance for SiC processing due to the chemical inertness of this new material. By increasing the temperatures of the wet processes and applying pressure, the desired etching rate could be reached, but the required equipment solutions and the wet chemical processes would get very complex and challenging for integration in high volume production.Electrochemical etching can overcome these hurdles at ambient conditions. This contribution introduces a novel technology for single-wafer electrochemical etching of SiC, porous SiC formation and polishing. The patented solution relies on touchless electrical contacts. It ensures fast SiC etching at zero edge exclusion and competitive costs. The system allows new degrees of freedom in device design and enables advanced process flows for SiC wafer and device manufacturing.

Biography
Holger H. Kuehnlein, PhDDipl. Chem.Senior Vice President Technology & InnovationRENA Technologies GmbHHolger H. Kuehnlein has his scientific background in physical chemistry with focus on electro and polymer chemistry. Starting his chemistry studies in 1999 at Technical University of Dresden he was able to gain early industrial experience for ECD of flip chip bumps and packaging at KSW Microtec AG a local startup company for flexible RFID labels and devices. Until 2004 the scientific works at university focused on improving mass transfer rates and metal deposition properties in microstructure using pulse plating technologies supported by magnetic field effects.For his PhD thesis at ATOTECH Germany GmbH Berlin he worked on kinetic and material property studies of the electrodeposited ternary alloy system Cu2ZnSn and the transfer to CZTSSe compound semiconductor material for thin film photovoltaics. This base opened the opportunity for him to enter RENA Technologies in 2007 during early industrial spread of silicon PV. After guiding different positions as product manager and R&D team leader Holger H. Kuehnlein is heading since 2015 the Department of Technology & Innovation inside the RENA group. While his focus remained on wet chemical processes he drove the successful diversification strategy of RENA and entered with his team the business fields of glass displays, semiconductor wafering and plating. His current development works focus on new wet chemical processes for compound semiconductors as Silicon carbide and Lithium ion batteries using electrochemical processes for polishing, porosification and deposition.

SMARTx - SMART Mobility
Robert Bosch GmbH Robert Bosch GmbH Leinenbach, Patrick
Holistic AIoT in automotive semiconductor value stream
Leinenbach, Patrick

Leinenbach, Patrick
Senior VP of Automotive Electronics
Robert Bosch GmbH

Leinenbach, Patrick

Abstract
Bosch‘s semiconductor business is growing rapidly. To cope with growing demand a new 12“-fab is built in Dresden, Germany. To operate it efficiently at the high cost location, cutting-edge AIoT solutions have been implemented. Combining single AIoT Use Cases to an „AIoT ecosystem“ along the automotive semiconductor value stream enables Bosch a revolution in ramping a new fab: the data driven release of the Dresden fab.

Biography
Dr. Leinenbach has been Senior Vice President of Robert Bosch Semiconductor Manufacturing Co. since 2017. Meanwhile, he serves as Board of Management of Robert Bosch Semiconductor Manufacturing in Dresden.Dr. Leinenbach was born in Voelklingen Germany on Oct.18th, 1968. He is married. He studied at Research Centre Jülich and graduated in Physics with PhD.

Executive Forum 3
S To top
Samsung Semiconductor Europe GmbH Samsung Semiconductor Europe GmbH Fischer, Axel
Samsung Foundry - Adding One More Dimension
Fischer, Axel

Fischer, Axel
VP Foundry Business EMEA
Samsung Semiconductor Europe GmbH

Fischer, Axel

Abstract
Vision, trends, latest technologies and solutions for various applications and achieving valuable partnerships.

Biography
Axel Fischer joined Samsung Semiconductor Europe in Year 2002 and is heading as Vice President the Foundry Business Unit in EMEA since 2017. He is leading the European Strategy, Sales, Marketing and Technical Support Organisation. Prior to this he lead the SLSI Business Unit in Europe.Previously he worked at Texas Instruments in Nice within the ASIC and Wireless Terminal BUs in Marketing and Business Development Management roles. He started his professional career at Cypress Semiconductor in 1995. Axel served as a Board Member in the OSPT Alliance.

Executive Forum 4
Schott AG Schott AG Letz, Martin
Thin Glass for Wafer- And Panel- Level Packaging: On the Route Towards Industrialization
Letz, Martin

Letz, Martin
senior principal scientist
Schott AG

Letz, Martin

Abstract
With the development of semiconductor technologies, glass wafers are getting more important as a carrier material for temporary bonding with silicon wafers in semiconductor applications such as 3D IC, RF IC Packaging and Fan-out Wafer Level Packaging. We discuss glass carrier wafer products with unique properties: (i) an extremely low TTV < 0.6 µm along with low flatness that ensures almost no warping or bowing of the glass in the application. (ii) The broad coefficient of thermal expansion (CTE) range from 3.2 to 9.4x10- 6 /K can match Si but can also be closer to higher CTE materials like epoxy molds and metal layers. (iii) Excellent UV transmission enables highly effective laser debonding, e.g. 1.1mm thick Borofloat 33 HT has a transmission >60% at 248nm and >90% at 307nm. Upon the latest breakthrough of glass and related processing technologies, our glass carriers enable the miniaturization of manufacturing in both front-end and back-end processes of semiconductors.Glasses can be also used as core substrate for panel- and/or wafer-level packaging to achieve heterogeneous integration in increasingly complex packages. Glass has a large number of advantages: The stiffness of glass (iv) allows manufacturing of highly accurate buildup layers with manufacturing precision of 1μm and below. Special glasses can be made with very good dielectric properties (v) and can also be applied in antenna-in-package applications. But most of all, economic glass structuring techniques (vi) which can provide millions of vias and thousands of cut-outs in a glass panel are important and are being developed. SCHOTT's Structured Glass Portfolio FLEXINITY and related technologies provide an excellent starting point for highly sophisticated structured glass substrates required for RFIC Packaging. The biggest hurdle for a large scale commercialization of glass panel packaging is industrial readiness.This is needed to bring glass panel packaging in applications like IC-packaging or, in combination with cut-outs for fan-out, embedding of active and passive components. Also metallization processes with good adhesion, excellent electrical properties and high geometric accuracy for glasses are an important step. In the current manuscript we review the status and discuss our contribution towards achieving industrial readiness for glass in panel- and wafer-level packaging.

Biography
Martin Letz works with SCHOTT, a special glass company, as a senior principal scientist. He joined SCHOTT in 2001 and was involved in several projects regarding materials for semiconductor structuring. Since several years he focusses on glasses and glass ceramics for electronic applications and their properies. One focus is on materials for antenna and filter structures for wireless data transfer. A second focus is on miniaturization of electronics using glass packaging. Prior to that he received his phd in solid state physics from the University of Stuttgart in Germany and had several positions in research institutions and Universities (Tartu University (Estonia), Max-Plack Institut (Stuttgart, Germany), Queens University (Kingston, Canada), University of Mainz (Germany)) working on different aspects of strong correlations in condensed matter.

Advanced Packaging Conference
SEMI SEMI Amano, James
Introduction to SEMI Sustainability Initiative
Amano, James

Amano, James
Sr Director, Int'l Standards and EHSS
SEMI

Amano, James

Abstract
In response to Member demands, SEMI has initiated a Sustainability Initiative to find a common way to move forward on issues facing the industry, including:● Increasing pressure from stakeholders (investors, financial institutions, NGOs, governments/regulators)● Attracting future generations to work in the industry and retaining current workforce● The need for collaboration in identifying and developing sustainability-enabling technologies (decarbonization, water, energy, etc.)● Showing leadership – proving that the industry is part of the solution.This presentation will introduce the SEMI Sustainability Initiative and current efforts underway, and show how all SEMI Members can get involved.

Biography
James Amano is Senior Director, International Standards & Environment, Health, Safety, and Sustainability at SEMI. Prior to joining SEMI, he worked as the Silicon Valley sales engineer for Matsusada Precision, and as a trade specialist for the Japan External Trade Organization (JETRO). He holds degrees in Economics and Environmental Conservation from the University of Colorado at Boulder.

Fab Management Forum
Siconnex customized solutions GmbH Siconnex customized solutions GmbH Buchberger, Mario
How to Replace Conventional Wet Etch/Clean Tools with Batchspray® Equipment, While Reducing Chemical Costs and Achiving More Clean Room Space?
Buchberger, Mario

Buchberger, Mario
Global Account Manager
Siconnex customized solutions GmbH

Buchberger, Mario

Abstract
A case study, that was done together with a customer, shows the benefits of moving from conventional wet etch/clean tools to a BATCHSPRAY® equipment.Due to that change the customer generated clean room space and reduced the chemical consumption to a minimum.These benefits were also achieved by a new wafer handling system for automated BATCHSPRAY® equipment. It is called Retainer Comb Handling system (RCH).That system allows a huge open area on the wafer surface by which a good chemical exchange is given.This means high cost savings as well as a good return on invest.

Biography
Mario Buchberger started as Process Engineer at Siconnex in 2016, supporting customers around the globe in any process topic. After his role as Project Development Engineer, where his focus was on cost of ownership & return on invest calcualtions he became Global Account Manager in 2020 and handles several international accounts.

Fab Management Forum
Smart Systems Hub Smart Systems Hub Klingstedt, Hans
How Edge Computing Enables Predictive Valve Maintenance in the Semiconductor Industry
Klingstedt, Hans

Klingstedt, Hans
Senior Project Manager
Smart Systems Hub

Klingstedt, Hans

Abstract
In the presented use case, the goal was to replace the monitoring of production-critical ultra-pure water valves at the Dresden site of challenge owner GLOBALFOUNDRIES with a suitable AI-based sensor solution. Sensor-based monitoring of valves ensures predictive maintenance and uninterrupted production, not just in chip manufacturing. Defects in valves were previously unpredictable at challenge owner Globalfoundries - a U.S. semiconductor manufacturer with over 16,000 employees worldwide and the largest and most modern semiconductor plant in Europe.The scalable edge computing solution, developed jointly with Coderitter, Globalfoundries, Infineon, Sensry, T-Systems and a hub team, is based on special sensors that provide acoustic data. Attached to the valve a small multisensorplatform as a smart sensor edge device enables the fusion, analyzation and classification using machine learning algorithms. The solution also includes the forwarding of the data to the cloud and the clear presentation in dashboards.On the one hand, the case is highly relevant in the context of the worldwide lack of semiconductor chips. Creating “virtual” capacity by using AI-based predictive Maintenance solution is promising action not only for production plants of Globalfoundries but the whole industry. Finally, we will look at how solutions can be developed for companies at different stages of technology and market maturity and how this helps European industry from startups to large companies.

Biography
Career start as assistant to the board of directors at an automotive supplier group.Support of projects in supply chain and supplier management of C and E-Class series.2016 as in-house consultant, design of digital transformation with introduction of PLM and SAP systems.From 2020 onwards, specialisation in innovation management and support of co-innovation formats as well as projects in digitalisation consulting in the Smart Systems Hub.Developing innovative IoT testbeds and MVPs by guiding different project partners as well as cross-sector technology experts (industry, SMEs, start-ups) through an innovation process.Focus lies fast integration of IoT technologies and AI to solve a problem and align them in such a way that companies succeed in process improvements and develop new business models.

SMARTx - SMART Manufacturing
SOITEC SOITEC Roda Neve, Cesar
Engineered Substrates and Materials for 5G
Roda Neve, Cesar

Roda Neve, Cesar
R&D Program Manager
SOITEC

Roda Neve, Cesar

Abstract
information coming soon

Biography
Cesar Roda Neve was born in Madrid, Spain, in 1975. He received the Msc. Engineer degree from the ICAI Universidad Pontificia de Comillas, Madrid, Spain, in 2000. In 2012, he received the Ph.D. degree in engineering sciences from the Université catholique de Louvain (UCL), Belgium. From 2004 to 2006, he was with the Electronics Department of the University Carlos III of Madrid, Spain, where he worked on ROF links and optoelectronic devices. From 2006 to 2012, he joined the Microwave Laboratory at the Université catholique de Louvain (UCL), Belgium, where he worked on the characterization and application of Si-based substrates for RF integration, in particular the use of HR-Si, HRSOI, and trap-rich HR-SOI substrates, non-linearities and parasitic effects. From 2013 to 2016, he was with the 3D and Optical Technology group at IMEC, where he worked on signal integrity, power delivery networks and RF modeling with special attention to 3D stacking and packaging. From 2016 to 2020, he worked at M3Systems Belgium as project manager for GPS, interferences and satellite related projects. In 2021 he joined SOITEC Belgium as R&D Program Manager. His research interest are new applications for SOI substrates for RF, with focus in 5G and 6G communications.

Advancements in Wireless Tech
STMicroelectronics STMicroelectronics Beretta, Alessandro
We create technology for a sustainable world, in a sustainable way – Our commitment to be Carbon neutral
Beretta, Alessandro

Beretta, Alessandro
Facilities Director
STMicroelectronics

Beretta, Alessandro

Abstract
At ST, we create technology for a sustainable world, in a sustainable way.It is not new. Sustainability has been engraved in our business model and culture for 25 years. Our innovative technologies play a key role in enabling our customers to contribute to overcome global environmental and social challenges. And today, we are accelerating sustainability together (i.e., with suppliers, customers, employees, shareholders etc.).ST is stepping up its ambition and plans for the sustainability of its operations.We will become carbon neutral by 2027, for the 40th anniversary of ST’s creation.We have built a comprehensive program with very ambitious targets for a company with such a large, global manufacturing footprint, and we are working with key partners and stakeholders in a collaborative approach.

Biography
Alessandro Beretta is Facilities Director, at STMicroelectronics. After degree in Chemical Engineering at Politecnico di Milano (Italy), he worked in the Oil and Gas sector as process engineer.He joined STMicroelectronics in 2004 and he worked as Facilities Engineer and Facilities Manager, following different 200mm extension projects in Agrate, with different corporate assignment in facilities and environmental programs.Today is involved as MEP (Mechanical Electrical Process) manager in the construction of a new 300mm semiconductor FAB in Agrate (Italy) and he is worldwide project leader for the direct emission reduction workstream (Scope 1), part of the 2027 ST Carbon Neutrality program.

Fab Management Forum
T To top
Technische Hochschule Ingolstadt Technische Hochschule Ingolstadt Elger, Gordon
Die-Attach Bonding with Copper Metal Pigment Flakes
Elger, Gordon

Elger, Gordon
Professor for Manufacturing Technologies of Electronics
Technische Hochschule Ingolstadt

Elger, Gordon

Abstract
Solid state sintering has emerged as a preferred die-attach process of choice for high temperature applications due to the formation of near bulk like interconnects which are capable of providing high temperature operations. Ag sintering under pressure is an industrialized process today. However, low cost alternatives, which offer comparable or better results under the same processing parameters, are desired. Among all metals Cu offers the next best thermal conductivity to Ag, is easily available, recyclable and the raw material cost are a fraction of that of Ag. Therefore, in recent years, focus has shifted to develop Cu sintering as a reliable alternative to the industrialized Ag sintering processes. However, Cu is highly prone to oxidation and the build-up of oxide layers is a diffusion barrier against material transport during sintering. We propose a novel approach by the use of micro-scale (3-5 µm), thin (200 nm) and high surface area (3.5 m²/g) Cu metal pigment flakes from Schlenk Metallic Pigments GmbH. Due to their design, the flakes stack over each other. This results in a dense and homogenous interconnect with a shear strength of ~ 40 MPa, while sintering under pressure of 10 MPa at 275 °C for 5 min. Since the flakes stack over one another, the overall surface area in contact is increased, thereby enhancing sintering. The stearic acid coating on the flakes, introduced during the ball milling process to prevent cold welding of the flakes is observed to be not only effective in preventing oxidation of the flakes, but also avoids agglomeration of the flakes during paste formulation and enabling excellent stencil printing capabilities.The effective stacking of the flakes also allows for sintering under low bonding pressure and realizing a well sintered interconnect even with a solids content of only 60 wt% in the paste formulation, compared to commercially available Ag sinter pastes with ~90 wt% solids content. A simple two-step sintering process similar to industrialized Ag sinter processes is realized, including pre-drying at 120 °C followed by isothermal sintering at 275 °C in an open bond chamber. The use of PEG600 in the paste formulation allows for an in-situ reduction of Cu oxides.The paste therefore offers an attractive low cost alternative to Ag sintering in die-attach bonding applications and can be introduced in the same equipment as presently used for Ag sintering under pressure.

Biography
Gordon Elger studied physics and made his PhD 1998 at the Free University of Berlin.Afterwards, he worked at Fraunhofer-IZM, Hymite GmbH, Electrolux and Philips GmbH in the field of optoelectronic, LED, MEMS, high frequency packaging and CAE, e.g. FEM and CFD for structural analysis and heat management.Since 2013 he is professor at the University of Applied Science in Ingolstadt (THI) for electronic manufacturing technologies and has built up a research team within the Institute of Innovative Mobility of the THI.Gordon Elger’s research is focused on microelectronics packaging and reliability, e.g. optoelectronic, sensor and power electronic packaging for automotive applications. One focus is the development of first and second level interconnects, e.g. residual free solder processes, new materials and processes for sintering. Another focus is the development of nondestructive measurement and test methods for reliability and quality insurance of interconnects, e.g. an automated transient thermal impedance tester for LED and power electronic devices. His research teams performs reliability analysis of interconnects and electronic modules. Based on the experimental data, models to predict the remaining useful life of interconnects are developed using physical “White Box” modelling (FEM) and data driven “Black Box” approaches.Since 2020 Gordon Elger is in addition head of the new founded Applied Research Center for “Connected Mobility and Infrastructure” of the Fraunhofer IVI. Sensor data fusion, smart electronic applications, condition monitoring and artificial intelligence based algorithm development for predictive health management are a second field of research within present projects of the new founded Applied Research Center.

Advanced Packaging Conference
Technological University Dublin Technological University Dublin Kelleher, John
Sustainable AI: Measuring and Reducing the Carbon Footprint of Deep Learning Model Development and Inference
Kelleher, John

Kelleher, John
Academice Leader ICE Research Institute
Technological University Dublin

Kelleher, John

Abstract
Artificial Intelligence (AI) has become a pervasive technology in modern societies. Naturally this has resulted in questions being raised regarding the ethical use of AI. However, a relatively under-studied aspect of modern AI is the relationship between AI and the environment. Used correctly AI has the potential to help our societies become move environmentally sustainable. At the same time modern AI, and in particular large Deep Learning models trained with powerful computers using massive datasets, have a direct environmental cost. In this talk I will discuss the environmental cost of modern AI practices and describe some of the ongoing research that is attempting to make AI more environmentally sustainable.

Biography
John is a Professor of Computer Science at Technological University Dublin. He is the Academic Leader of the Information, Communication and Entertainment (ICE) research institute, and a co-Principal Investigator at the Science Foundation Ireland ADAPT research centre, and a co-Principal Investigator at the SFI centre for PhD training in digitally enhanced reality (D-REAL). John has over 25 years of research experience in Artificial Intelligence, with a focus on the topics of natural language processing and machine learning. John has authored three books: Fundamentals of Machine Learning for Predictive Data Analytics (2020, MIT Press), Deep Learning (2019, MIT Press), and Data Science (2018, MIT Press). John's lab carries out research on natural language processing, machine learning for health and alsoo on the carbon footprint of deep learning. John's presentation at SEMICON will be on this last topic, the environmental impact of artificial intelligence.

Sustainable - Green & Trusted
TNO TNO Kievit, Olaf
Introduction to the MADEin4 project: Metrology Advances for Digitized ECS Industry 4.0
Kievit, Olaf

Kievit, Olaf
Senior Business Developer
TNO

Kievit, Olaf

Abstract
The MADEin4 project started in april 2019, with a consortium of 47 partners from 10 countries connecting the full range of the supply chain. Partners include semiconductor equipment manufacturers and system-integrating metrology companies, RTOs and organizations working on key application areas such as the automotive industry.The objective of MADEin4 is to develop next generation metrology tools, machine learning methods and applications in support of Industry 4.0 high volume manufacturing in the semiconductor and automotive manufacturing industries. Addressing a broad range of electronic components and systems (ECS) technologies, MADEin4 aims to demonstrate Industry 4.0 manufacturing productivity improvement by developing advanced, highly connected metrology cyber physical systems, combining metrology data analysis and design with machine learning methodologies and digital twinning.The project has entered its third and final year and we are beginning to see the first results. This presentation will highlight some of those results, and introduce the topics which will be addressed in more detail in other presentations in this session.

Biography
Olaf Kievit graduated in 1990 for his MsC in Chemical Engineering and obtained a PhD in Aerosol Technology at Delft University of Technology in 1995. He worked at 3M Corporation for 6 years, developing new technology for air filtration. Olaf joined TNO in 2001 as a research scientist. Moving more and more to project management, he has been active in the field of Semiconductor Equipment Development for over 10 years. Since 3 years Olaf is working as a senior business development manager, setting-up new projects and managing customer relations.

From Reactive to Predictive: Smart Manufacturing in the Semiconductor Industry – The MADEin4 Initiative
TNO TNO Koster, Norbert
MFIG: a Mass Filterd Ion Gauge for heavy hydrocarbon detection
Koster, Norbert

Koster, Norbert
principal scientist
TNO

Koster, Norbert

Abstract
The MFIG sensor is especially developed to detect heavy hydrocarbon contamination in high vacuum systems. This type of contamination can be responsible for carbon growth on surfaces of interest in equipment which utilize energetic particles like ions, electrons and photons. The carbon growth is responsible for yield loss on wafers causing bad dies or erroneous measurements for instance in CD-SEM metrology. The MFIG sensor is designed to detect these contaminants before they reach critical levels in equipment. As a result preventive action can be taken to prevent damage or yield loss. This could be to reject a dirty wafer or start a cleaning action in a metrology tool. The sensor gives a real time signal and is easy to interpret in contrast to spectra as obtained with residual gas analyzers. The sensitivity of the sensor for very small partial pressures is also further enhanced.In the Madein4 project TNO has redesigned the MFIG to be able to fulfil industry needs. We will report on the design upgrades and show some preliminary results of the assembly and initial testing at TNO. Once the sensor is fully assembled and tested we expect to ship the sensor to interested partners in the Madein4 consortium for benchmarking and testing on relevant equipment.

Biography
Norbert Koster is Principal Scientist at TNO in the group for Nano-instrumentation, he has worked in vacuum technology and EUV lithography since 1992. After graduation he worked at the former FOM Institute for Plasma Physics Rijnhuizen. There he was involved in the fabrication and optimization of Multilayer Mirrors for EUVL applications and space astronomy as well as the improvement of the deposition tools. In 1999 he started at TNO as vacuum engineer. Together with ASML and partners he stood at the birthplace of the EUV Alfa demo tools and their successors. During his career he developed interest in vacuum engineering, systems engineering and contamination control. As Principal Scientist he is involved in projects for EUV Lithography, plasma technology, contamination control, nuclear fusion (ITER).He was deeply involved in the realization of a new EUV exposure facility (EBL2) for EUV optics lifetime research at TNO in Delft.

From Reactive to Predictive: Smart Manufacturing in the Semiconductor Industry – The MADEin4 Initiative
Tofwerk Tofwerk Frege, Carla
Vocus: The Most Sensitive Detector of Air Molecular Contaminants
Frege, Carla

Frege, Carla
Application scientist
Tofwerk

Frege, Carla

Abstract
A fast and precise monitoring of room air and material outgassing is critical to ensure good product quality in the semiconductor industry. As cost per chip rises significantly from one technology node to the next, maintaining optimal yield is more than ever paramount. As such, measurement of air molecular contaminants (AMCs) from high to extremely low concentration levels (ppmv-pptv) has become of great importance within the different fab processes. Current technologies used for monitoring AMCs are specific to some categories (acids, bases, volatile organics, condensables) and/or fail detecting low concentration levels of AMCs. The TOFWERK Vocus chemical ionization mass spectrometer offers new insights into monitoring of AMCs in the fab with extremely fast time response (seconds), detection limits in the range of single digit pptv and high versatility being able to measure trace acids, bases, condensables and volatile organic compounds simultaneously . Vocus is also a mobile instrument that can, when necessary, be deployed to specific areas within the fab. In this work we present the use of a Vocus for continuous monitoring of outgassing after a process that simulated standard cleaning procedures of a FOUP (a specialized plastic enclosure used for wafer transport). For these measurements the outgassing of molecular acids (MAs) and molecular bases (MBs) was monitored over 16 hours in separate experiments simulating cleaning of a FOUP. With 1 min LODs in the range of 3-10 pptv, Vocus measures some molecular contaminants that persist at trace concentrations (10-30 pptv) for many hours.

Get
Concentration decay of common inorganic acids in a FAB environment. The markers show the quantification limit of each compound. Arrows on the right axis show the 1 minute LOD of the Vocus
Precise and sensitive measurement of the outgassing compounds could guide process adjustments to decrease defects related to queue time and optimize the cleaning process of individual FOUPs prior to loading with new batches of wafers. More importantly, such measurements could inform development of next generation of FOUPs using novel polymeric materials and new surface treatment procedures.

Biography
Carla Frege is an application scientist at Tofwerk AG (Thun-Switzerland). She holds a PhD degree in atmospheric and climate science from the Swiss Federal Institute of Technology in Zürich (ETHZ). Her interests lie in the application of atmospheric science to industrial use-cases. Her current projects include the research and development of sensitive technology for monitoring of airborne molecular contamination (AMC) in the semiconductor industry.

Fab Management Forum
Tokyo Electron Europe Limited Tokyo Electron Europe Limited Arnold, Joerg
Supporting Europe’s Semiconductor Expansion Through Localised Training and Service
Arnold, Joerg

Arnold, Joerg
Parts and Service Sales Executive
Tokyo Electron Europe Limited

Arnold, Joerg

Abstract
The operational changes brought about by the pandemic have greatly impacted the semiconductor industry due to the increases in localised installation, service, and support. Localising these areas presents several key challenges due to the complexity and high precision of semiconductor production equipment. It is further complicated by the impending aggressive growth of semiconductor production due to the global semiconductor chip shortage. Given these restrictions, how does a global company like Tokyo Electron (TEL) locally install our products, and meet the service and support needs of the European semiconductor business environment? As a reliable partner for our customers, we will share our localisation strategies to address how we are adapting to these challenges.

Biography
Jörg has already more than 15 years experience in the Semiconductors industry. Upon his graduation in Business Administration from Technische Universität (TU) in Dresden, Jörg joined the Advanced Mask Technology Center in 2004 and AMD in 2006. In 2008 he has moved on to Tokyo Electron, serving in different roles within the Service and Support Department. In 2019 he was appointed as Service and Parts Sales Executive.Jörg works closely with the TEL Field Solution Business Unit implementing global projects for service and parts into Europe.

Fab Management Forum
TriEye TriEye Bakal, Avi
Seeing Beyond the Visible: The Short-Wave Infrared Revolution
Bakal, Avi

Bakal, Avi
CEO
TriEye

Bakal, Avi

Abstract
Sensing in the SWIR spectrum enables several applications that are not possible with the use of Visible or NIR cameras, offering superior vision, functionality, and operability under all weather and lighting conditions. In the automotive market, it already enhances human driver capabilities and assists in the detection of previously invisible hazards on the road.In addition to vision under low visibility conditions, most materials are recognizable in the SWIR spectrum in comparison to VIS or NIR cameras. SWIR cameras can sense materials and make them “visible” and actionable to human operators, video analytics, or deep learning applications. By comparing the relative reflection of light of different materials in carefully chosen spectral bands, the differences between the spectral signatures, therefore between different materials, are revealed and easily detected.But while InGaAs-based SWIR cameras have been around for decades, serving the science, aerospace, and defense industries, they have not yet been used for mass-market applications due to their high costs, low production yield, and large form factor.TriEye is the pioneer of mass-market short-wave infrared (SWIR) sensing solutions. The company’s breakthrough, proprietary technology enables cost-effective and high-resolution SWIR imaging; via a patented CMOS process, we reduce the cost of a SWIR sensor by up to 1000x - thereby enabling SWIR technology for a variety of transformative applications across automotive, industrial, biometrics, security, consumer electronics, and medical markets. Join us in this fascinating session to learn about this world's first innovation and unlock the unique properties of SWIR sensing for mass-market applications, the complex vision gaps it is here to solve, and what is yet to be discovered.< div id="l-content" >

Biography
Avi Bakal, CEO and Co-Founder of TriEye has achieved a mass-scale technological breakthrough in record time, creating a giant leap in ADAS and AV safety, reliability, and functionality. Avi is an experienced multidisciplinary Physicist and Electrical-Engineer. He has a BSc in Computer Science and Electrical-Engineering and an MSc in Applied Physics specializing in optics and lasers. Avi served as a combat commander in a special unit in the Israeli Air Force. Additionally, he published two articles in distinguished scientific journals and was highlighted in Nature Photonics Journal. Avi was recently named as CEO/Managing Director of the Year by Image Sensors Europe 2020 Awards.

SMARTx - SMART Mobility
TSMC Europe BV TSMC Europe BV Marced, Maria
Digitize the Future
Marced, Maria

Marced, Maria
President
TSMC Europe BV

Marced, Maria

Abstract
Maria Marced will explore the impact on the semiconductor industry of a digitized lifestyle which the pandemic has accelerated. The semiconductor industry is absolutely essential and is at a turning point, we have to do an extra effort to enable and drive the megatrends of Ubiquitous Communications and High Performance Computing, with technology and manufacturing accelerating the transformation to a digital society.

Biography
Dr. Maria Marced is President of TSMC Europe, a wholly owned subsidiary of Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC), with responsibility for driving the development, strategy and management of TSMC’s business in Europe, the Middle East and Africa.Before joining TSMC, Dr. Marced was Senior Vice President of Sales and Marketing at NXP/Philips Semiconductors. She also served as General Manager of Philips’ Connected Multimedia Solutions Business Unit. Dr. Marced spent over 19 years at Intel, rising to become Vice President and General Manager of Intel EMEA.Dr. Marced currently serves as Chairwoman of the Global Semiconductor Alliance (GSA) EMEA leadership council, an organization dedicated to the advancement of the worldwide semiconductor industry.Maria Marced holds a Ph.D. degree in Telecommunications Engineering from Universidad Politecnica de Madrid, Spain.

Executive Forum 2
U To top
Univ. Grenoble Alpes, CNRS, CEA/LETI Minatec, LTM Univ. Grenoble Alpes, CNRS, CEA/LETI Minatec, LTM Tortai, Jean-herve
Go Faster for Process Deviation: Fast Errors Detections on Large Surfaces Using Ellipsometry
Tortai, Jean-herve

Tortai, Jean-herve
Permanent researcher
Univ. Grenoble Alpes, CNRS, CEA/LETI Minatec, LTM

Tortai, Jean-herve

Abstract
The ongoing MadeIn4 European project purpose consists in developing new Industry 4.0 metrology approaches driven by predictive in line control requirements and this at the frontier between academic studies and industrial world. LTM academic lab has a strong expertise in innovative metrology and leads the use of the advances characterization modules of the IMPACT platform, a unique metrology tool set based on versatile and powerful hybrid Lab techniques implemented on an Inline 300mm platform. LTM contributions in MadeIn4 are in line with Booster 1: Increase Knowledge and Robustness and Booster 2: Go Faster. This close collaboration with STMicrolelectronics addresses three tasks. First one concerns the Hybridation between XPS (IMPACT modules) and several optical techniques (Ellipsometry and Raman IMPACT module) for ultra-thin film metrology. Second tasks address the Robustness improvement of metrology standards accuracy through CD-SAXS measurements in partnership with LETI with an Artificial Intelligence based approach. Finally, last task targets the development of an innovative strategy for fast critical errors detections in large surfaces 3D patterns by Ellipsometry / Polarimetry IMPACT modules using a very fast model less approach. This last task will be detailed in this communication.Metrology tools are known to exhibit high sensitivity in order to measure small changes in properties or morphologies of materials used in industrial processes. Among these tools; Ellipsometry and Scatterometry are optical equipments that are widely used for refractive index measurements and morphological analysis of stacked patterned layers that are deposited during the fabrication flows in microelectronic. Accuracy and reproducibility of the measurements are mandatory for the metrology control of a process, accuracy fulfilled thanks to the elaboration of an accurate model. The time cost of this metrology step is controlled by the development of this accurate model and by the time needed for the inverse problem solving that supplies to engineers the required metrics. Conversely metrology steps are slow especially if compared to defectivity techniques that are dedicated to fast defects detection in large number of dices or on full wafers surface during the process flow. However, defectivity tools are less sensitive than metrology tools and may ignore process deviation that only metrology will detect.In this work LTM presents a new approach for process deviation control by using metrology ellipsometers but in a defectivity way: no models and a fast deviation detection. These actions in MadeIn4 are in line with Booster 2: Smart use of data to improve the over-all productivity. This innovative strategy requires a model less approach to go faster, an automatic treatment of ellipsometry measurements that are acquired using a raster scanning of large wafer surfaces (compared to the surface of traditional metrology dies) in order to generate images or stacked ellipsometry signatures at metrology die level and the classification or dimension prediction by deep learning algorithms. Finally, the process deviation detection or dimension prediction using this approach is benchmarked to defectivity measurements or to conventional metrology steps.The presented results will demonstrate the augmented process deviation detection using this strategy compared to a conventional defectivity approach or to a conventional metrology approach.

Biography
Jean Hervé Tortai has been a permanent researcher at CNRS for almost 20 years. He received a PhD degree in Physics in 2000 and joined the LTM in 2002. Currently he is a team leader of the Minasee team of LTM. Since 2002, he developed ellipsometry methodologies to monitor physio-chemistry properties of ultra-thin resist films in order to optimize lithography processes. He modeled those lithography processes with a focus on E-Beam lithography to compensate for proximity effects. Another field he is involved in deals with the elaboration and the characterization of thin composite films where nanoparticles are added to tune final physical properties of the film. Since 2014, he is also in charge of the VUV Ellipsometry / MIR polarimetry chamber of the IMPACT cluster where optical properties of 300mm wafers are measured in a broadband range (145nm-12µm). Those measurements can be analyzed by modeling when metrology metrics are needed or by using a model less approach when process deviations on large surface must be detected.

Artificial Intelligence and Robotics in Semiconductor Industry - The MADEin4 Initiative
V To top
VLSI Research VLSI Research Puhakka, Risto
Market Updates
Puhakka, Risto

Puhakka, Risto
President
VLSI Research

Puhakka, Risto

Abstract
Coming soon

Biography
Risto Puhakka is President of VLSIresearch, leading the company’s commercial operations and market research activities. He is an expert in Semiconductor Capital Equipment markets as well as Semiconductor Manufacturing. Risto advises managers, boards, and investors about semiconductor market trends and strategic industry statistics. He is a regularly invited speaker at conferences about various topics in semiconductor manufacturing and equipment markets. Risto is a graduate of Helsinki University of Technology (MSc) and UC Berkeley, Haas School of Business (MBA). When Risto is not working he cherishes time with his family, runs very long distances, and is occasionally spotted flying model airplanes.

Advanced Packaging Conference
Y To top
Yole Développement Yole Développement Mouly, Jerome
Gas and particle sensors, electronic noses in healthcare sector - a new momentum
Mouly, Jerome

Mouly, Jerome
Team Lead Analyst Sensing & Actuating
Yole Développement

Mouly, Jerome

Abstract
The quality of the air we breathe remains a major global issue for the health and safety of people. The World Health Organization links 4.2 million deaths per year to pollution issues and exposure to toxic or dangerous gases. The cost of pollution is also a significant economic impact. The World Bank estimates it to be 4.8% of global GDP. Covid-19 pandemic has accelerated the adoption of indoor air quality detectors in which gas and particle sensors are at the heart of the systems, analyzing CO2 concentration in public closed areas, classrooms, or offices, and many countries are now regulating air quality in these areas. In the medical sector, gas sensors are used for capnography applications since a long time, but new use cases are into development or exploration status: cancer biomarkers in air we breathe for early diagnostics, inflammation detection for patient suffering from Asthma. The presentation will describe applications of gas and particle sensors in the healthcare sector and emerging use cases. You will learn more on the innovative technologies from NDIR to MOx or photo acoustic based gas sensors, and which kind of technology is well adapted to which application regarding selectivity, lifetime and other major criteria for healthcare applications. Not only gas could be measured, but also more complex odors that need to the use of artificial intelligence or the concept of electronic nose. The presentation will introduce electronic nose devices and status of development as well as the ecosystem of players.

Biography
Jérôme Mouly is Team Lead Analyst in the Sensing & Actuating team within the Photonic & Sensing Division at Yole Développement (Yole). Jérôme manages the expansion of the technical expertise and market know-how of the team. He actively supports and assists in the development of a dedicated collection of market & technology reports as well as custom consulting projects.He has conducted more than 100 marketing and technological analyses for industrial groups, start-ups, and institutes in the field of MEMS and sensing technologies.Jérôme has been also deeply engaged in Yole's finance activities with a dedicated focus on the commercial exploitation of smart system technologies and access to funding opportunities.

SMARTx - SMART MedTech
Z To top
ZIAN & Co industrial consulting and recruitment ZIAN & Co industrial consulting and recruitment Zimmer, Andreas C.
Remote Operations / Training New Employees in Time of Disruption; Integrating New Training Solutions; Managing Operations when Staff is Digital.
Zimmer, Andreas C.

Zimmer, Andreas C.
Executive Search & Selection Consultant
ZIAN & Co industrial consulting and recruitment

Zimmer, Andreas C.

Abstract
CoViD has proven one thing: it is possible to run a company successfully without the physical presence of employees! What does this mean for the future? Will home office establish itself? What impact will this have on management and onboarding of new employees? How do I lead a digital team? It will depend on some factors to be used differently. In detail:Team building: lead teams horizontally. One success factor is that everyone is deployed according to their skills, meaning not only their professional qualifications, but also their skills in terms of ​​interpersonal relationships. The team leader will be well advised to select his team members from this aspect and deploy them accordingly. He is therefore not necessarily the most senior, but rather the one who is most likely to be able to organize, lead and motivate the team and enable them to focus on the task at hand: he's the coach on the sideline, not the best player.Communication: communicate openly, honestly and at an early stage. It is more about “listening” than “talking”, as a remote team will only be successful if all members have the same information and know exactly what is expected. Criticism is expressly encouraged, and suggestions should come from those who deal with the topic every day. “Leading through questions” should prevail.Clear goals, tasks, responsibilities: a precise distribution of tasks is essential. It will be important that those who are best qualified for the respective task take on responsibility, and this qualification is not necessarily a purely technical one. Likewise, it will be necessary to precisely define the goals and to adapt them, should this prove necessary. It is not a top-down process, but an iterative action that accompanies the process fluently. A framework should be set out within which the team members can move freely.Equipment, timing, schedule: ensure (as team leader) that the team has the necessary equipment available right from the start; give clear time constraints, keep an approved agenda, and stick to it.Participants (n ° of max): the team: as small as possible, as large as necessary.Develop people: give colleagues the chance to work out their ideas and suggestions; discuss these with them; give open, honest feedback; praise freely and honestly; give credit; think about each one in your final report.You'll end up successfully if you respect some simple lessons: coach your team; generate enthusiasm; develop people; ask; say “Yes, we'll do it”.

Biography
Andreas is an international acting personnel and industrial consultant with 25+ years’ experience. He’s a specialist for high-end technologies (Semi, LED, PV, Electronics, Test & Measurement, etc.), active throughout Europe, with customers in Europe, USA, Asia. He’s a permanent participant of leading exhibitions and conferences worldwide (SEMICON, INTERSOLAR, EU PV SEC, LIGHT&BUILDING, ELECTRONICA, PRODUCTRONICA) and fluent in three languages, written and spoken.Within the SEMI industry, he operates with his partners from SONAR GmbH, Munich (www.sonar-gmbh.com).Prior to his consultancy career, Andreas covered positions in Sales and Marketing with OSRAM, Germany and Italy, for almost ten years. Further on, he matured experience in controlling, change management, re-engineering. Andreas lives in Munich. He has one son and is in his spare time an avid tournament ballroom dancer and sailor.For more information, go to his LinkedIn-profile: https://www.linkedin.com/in/andreas-c-zimmer-16807112/, or contact him directly: aczimmer@zianco.com or +49 89 31988638.

Fab Management Forum