A To top
Agileo Automation Agileo Automation Golra, Fahad
Challenges and Opportunities for Adopting Digital Twins in Semiconductor Industry
Golra, Fahad

Golra, Fahad
Research Coordinator
Agileo Automation

Golra, Fahad

Abstract
Virtualization of a real-life object, process or system is not new; what brings the concept of digital twins to the forefront is its potential real time connection to the real world and leveraging AI and big data analytics to interact with and evaluate different “what if” scenarios.Through our experience in the development of digital twin solutions for semiconductor equipment manufacturers, we share the findings of an analysis on their adoption in semiconductor industry.Depending on their perspective, OEMS and integrators have an outgoing focus by finding its value for product improvement, customer service and new business models. On the contrary, fabs have an incoming focus by finding its value for cost reductions, process improvements and safety. By replicating manufacturing systems and processes, digital twins afford an opportunity for online and offline support for extending the APC technologies like Equipment Health Monitoring for assessing tool health as a function of deviation from normal behavior, Predictive Maintenance for using process and equipment state information to predict maintenance needs, Predictive Scheduling for improving scheduling of system by utilizing current and projected information on tool and factory state, capabilities and schedule, Virtual Metrology for the prediction of post process metrology variables using process and wafer state information, and Yield Prediction for monitoring information to predict process or end of line yield.For adopting digital twins, the stakeholders will have to prepare themselves for new challenges. The product teams need to become proficient in both hardware and software by developing new skills like design, simulation, analytics, etc. Integration, management, and maintenance of both physical and digital twins need to be considered. Maintenance of digital twins and their links to the physical twins become a core approach for upgrades and thus require new business models. An additional effort to standardize data interchange, not only between the physical and digital twins but also for the integration of digital twins would be required. With more data from digital twins, unless the stakeholders can find innovative “what if” scenarios, its value would remain unclear. The ownership of data is already becoming an important concern. The stakeholders would need to devise procedures for data sharing and partitioning and ensure the confidentiality of data and intellectual property security.

Biography
Fahad Golra is currently working as a research coordinator at Agileo Automation. After his doctorate in process modeling, he has been active in research activities around model federation, model driven development, Industry 4.0 solutions based on RAMI 4.0, OPC UA and digital twins. He is actively participating in different standardization activities in OPC Foundation, SEMI association and ISA.

Fab Management Forum
Amkor Technology, Inc. Amkor Technology, Inc. Kelly, Mike
Heterogeneous IC Packaging for Advanced AI Applications
Kelly, Mike

Kelly, Mike
Vice President Advanced Package & Technology Integration
Amkor Technology, Inc.

Kelly, Mike

Abstract
Data centers, located in nondescript ultra-large-scale buildings where electric power is more affordable, are the backbone of the data processing and AI training done today. With tens of thousands of high-end central processing units (CPUs) and advanced AI accelerators, these intricate cities of electronics require thousands of kilometers of copper connectivity and megawatts of cooling. Advanced IC packaging trends in the data center are driven by requirements for high memory bandwidth (BW), many-core CPUs and ultrafast networking between racks, servers and storage. The resulting packaging solutions are focused around three key areas: on-package memory for maximum memory BW and capacity as required by AI accelerators; larger-than-reticle-size compute processors for servers; and ever-faster networking devices pushing towards 50 terabits per second (Tb/s) switch capabilities. This three-fold powerhouse of compute and storage, AI acceleration and ultrafast networks to connect discrete functions are pushing advanced IC packaging to the limit. Single IC packaging solutions are still present but are quickly being supplanted by heterogeneous packaging solutions which are required to enable functional performance increases commensurate with demand. IC packaging such as 2.5D silicon-based interposer and high bandwidth memory (HBM) are commonplace as AI accelerators and high-performance switches and routers. Trends in CPUs towards more and more cores to enable fine-grain utilization of this immense resource in the data center has pushed the required gate limit far beyond what can be captured in a single reticle using conventional physical partitioning on a single system on chip (SoC). These compute cores need the highest performance silicon transistors possible and are some of the first products into the latest silicon node. To make room for this compute gate count, high-speed I/Os are being pushed off-chip into discrete I/O chiplets. In addition, the total power requirements and shrinking operating voltages have pushed input current levels to new highs, bringing electromagnetic interference (EMI) considerations back under the microscope, as well as putting local voltage regulation into the package to better contain the incoming current levels.

Biography
Mike joined Amkor in 2005 and has led package developments for EMI shielding, thermally enhanced packages, sensors and high density MCM packages including 2.5D TSV and high-density fan-out (HDFO). He has worked in electronics and IC package design and manufacturing for 25 years, managing projects ranging from polyester flexible circuits to eutectic flip chip, IC package design and signal integrity. Mike has more than 40 patents in the field and holds master’s degrees in Mechanical and Chemical Engineering.

Advanced Packaging Conference
Atotech Atotech Schmidt, Ralf
The Pivotal Role of Uniformity of Electrolytic Deposition Processes to Improve the Reliability of Advanced Packaging
Schmidt, Ralf

Schmidt, Ralf
R&D Manager Semiconductor
Atotech

Schmidt, Ralf

Abstract
Heterogeneous integration is considered as the key technology to create large, complex System in Package (SiP) assemblies of separately manufactured, smaller components. Proper control of the uniformity of each process step constitutes one of the main challenges during integration of the different components into a higher-level assembly. In this context, processes that create thick layers by electrochemical deposition are especially susceptible to variations across the substrate. Such processes include copper pillar and bump as well as tin-silver applications. Insufficient coplanarity of electrolytic copper would result in significant reliability issues or evolution of stress in the package. Upcoming hybrid bump designs with features of different dimensions pose additional challenges to the electrolytic copper and tin-silver processes. Purposeful adjustment of differences between the heights of pillars of different diameters may be required after the copper process step in order to obtain the best uniformity for the complete stack with tin-silver on top. In addition to coplanarity, the electrolytic process should allow modification shape of the individual pillar or bump. In this context, a versatile copper electrodeposition process will be discussed that allows adjustment to a broad variety of uniformity parameters and combinations thereof. In combination with suitable tin-silver deposition processes, this process is expected to significantly improve the reliability of copper pillars and bumps for advanced packaging applications.

Biography
For the past 10 years Ralf Schmidt has held various roles related to R&D at Atotech, wherein he focused, amongst others, on the development of various metal deposition processes. He is currently R&D Manager Semiconductor and responsible for all R&D projects, which are related to Semiconductor and Advanced Packaging topics.Ralf is author of numerous publications and patents in this field and committee member of the Advanced Packaging conference of Semicon Europe as well as the 3D & Systems Summit.

Advanced Packaging Conference
ATREG, Inc. ATREG, Inc. Rothrock, Stephen
Coronavirus, Chip Boom, and Supply Shortage: The New Normal for Global Semiconductor Manufacturing
Rothrock, Stephen

Rothrock, Stephen
Founder, President & CEO
ATREG, Inc.

Rothrock, Stephen

Abstract
Over the past 50 years, the semiconductor industry has faced its fair share of difficult challenges. The COVID-19 pandemic the world is currently experiencing has caused the worst downturn since the financial crisis of 2008, devastating global economies. And yet, the semiconductor industry has repeatedly shown incredible resilience in the face of adversity. Despite the pandemic, the market has not experienced such a dramatic upturn since 2003 and as a result, the new market upturn breaks open the fundamental flaws and risks of manufacturing concentration and outsourcing.At a time when the world is precariously dependent on Taiwan for semiconductors, how can the global supply chain forecast, manage, and plan for such sudden shifts in the future? Now more than ever before, advanced technology companies need to keep the finger on the pulse of supply and demand to successfully inform their strategic manufacturing decisions and remain agile to ensure continuity of supply. As chip demand booms in the midst of a supply shortage over the coming months and supply is no longer a given, what does the future hold for manufacturing fabs? Will greenfield become the rule rather than the exception in this new normal (TSMC in Arizona, Cree in New York, etc.)? How will the market upturn impact global fab location choices?Reflecting on some 20 years of experience completing international semiconductor manufacturing asset transactions in North America, Europe, and Asia, ATREG Founder, President, and CEO Stephen M. Rothrock will provide insights into the current global manufacturing asset market and how it is likely to evolve as part of the current market upturn.

Biography
Stephen founded ATREG in 2000 to help global advanced technology companies divest and acquire infrastructure-rich manufacturing assets, including wafer fabs (front- and back-end) as well as MEMS, solar, display, and R&D facilities. Over the last 20 years, his firm has completed close to 40% of all global operational wafer fab sales in the semiconductor industry, a total of 50 transactions representing a value of $4 billion.Recent global acquisitions and sales have involved Fujitsu, GLOBALFOUNDRIES, IBM, Infineon, Matsushita (Panasonic), Maxim, Micron, NXP, ON Semiconductor, Sony, Qualcomm, Renesas, and Texas Instruments to name just a few. Prior to founding ATREG, Stephen established Colliers International’s Global Corporate Services initiative and headed the company’s U.S. division based in Seattle, Wash.Before that, he worked as Director for Savills International commercial real estate brokerage in London, UK, also serving on the UK-listed property company’s international board. He also spent four years near Paris, France working for an international NGO. Stephen holds an MA degree in Political Theology from the University of Hull, UK and a BA degree in Business Commerce from the University of Washington in Seattle, USA.

Fab Management Forum
C To top
Cloud&Heat Technologies GmbH Cloud&Heat Technologies GmbH Struckmeier, Jens
Coming soon
Struckmeier, Jens

Struckmeier, Jens
CTO & Founder
Cloud&Heat Technologies GmbH

Struckmeier, Jens

Abstract
Coming soon

Biography
Coming soon

Sustainable - Green & Trusted
D To top
Dell Technologies Dell Technologies Vivolo, Lawrence
A revolution in Smart Factory is coming – driven by Autonomous, SW-defined, Service-oriented, Fully Connected Cars. Are you ready?
Vivolo, Lawrence

Vivolo, Lawrence
Sr. Business Development Manager, Semiconductor & Automotive
Dell Technologies

Vivolo, Lawrence

Abstract
In this session we will discuss the rise of electronics in vehicle design, from Advanced Driver Assistance Systems and Autonomous Driving (ADAS / AD) through electrification and ultimately the connected, SW-defined car – focusing on how Smart Factory deployments of the near future must themselves be connected, up and down the supply chain in order to manage and leverage continuous streams of data. We will introduce the concept of a data stream, which is continuous and without end, as the means to improve quality while opening the door to new revenue streams – all with an eye toward security and privacy. The automotive industry is in the midst of an electronics revolution. Safety-critical features are in high demand; emissions standards are pushing manufacturers toward electrification; 5G, Smart Cities and the Connected Car will drive the need for ever increasing data streaming and management; and the trend toward Serviceable oriented Architectures (SoA) will create new opportunities for revenue. The Smart Factory of the near future will be the center hub of connectivity, with data streams coming from all directions. Traditional functions, like Predictive Quality, will be extended both up the supply chain, to individual components, and down to the consumer for post-production support. New revenue opportunities have already been identified and many more will come. Data is where the money is and where the challenges lay. It must be processed, managed and shared in real-time -- even as it grows exponentially. Growing concerns and regulations related to cybersecurity and privacy must also be addressed by design, as they can single-handedly derail the entire supply chain. In this session we will introduce the concept of the data stream, and how deploying it securely is a critical enabler of the future, fully connected Smart Factory.

Biography
Lawrence Vivolo is a world-wide Sr. Business Development Manager for Automotive and Semiconductor Design, Validation and Manufacturing at Dell Technologies. Lawrence received his Bachelor of Science degree in Electronic Engineering from California Polytechnic State University, San Luis Obispo, and his Master in Business Administration from Santa Clara University, Santa Clara, California. Lawrence’s interests and 30+ years of experience include CPU architecture specification, semiconductor and system-level solution validation, Advanced Driver Assistance and Autonomous Vehicle (ADAS/AD) verification, distributed R&D enterprise infrastructure architectures. Lawrence was most recently awarded a patent for automatic generation of properties to assist hardware emulation in 2017.

SMARTx - SMART Mobility
E To top
EBARA Precision Machinery Europe GmbH EBARA Precision Machinery Europe GmbH Richter, Reinhart
An Emergency Process Technology for Europe
Richter, Reinhart

Richter, Reinhart
President
EBARA Precision Machinery Europe GmbH

Richter, Reinhart

Abstract
Key topics:Performance - Customer Orientation - SustainabilityGet more out of it - added value for fabs and sub fabsEdge control - many challenges to tackleEnhance wafer yield with high quality bevel polishingNew strategic end marketsAdvanced cleaning

Biography

Get
Dr Reinhart Richter
Dr Reinhart Richter is serving as President of EBARA Precision Machinery Europe GmbH since 2015. EBARA is a supplier for CMP and plating equipment as well as vacuum pumps and abatement systems.Prior to EBARA Reinhart has worked for over 13 years at Multitest as vice president sales and marketing and later president successfully promoting the company’s transition to a leading edge solution supplier for advanced test handlers, test sockets and DUT boards. After the acquisition of Multitest by LTX-Credence he served the newly formed Xcerra Corp. as chief technology officer. Before joining Multitest he held various positions at KLA-Tencor Corp., BBN Inc., and IABG.Reinhart Richter holds a M.Sc. and Ph.D. in Solid State Physics from McGill University, Montreal, Canada, and has authored over a dozen peer reviewed scientific papers.From 2014 to 2017 Reinhart has served on the SEMICON Advanced Packaging and Test Conference Committee.

Fab Management Forum
Edwards Edwards Jones, Chris
Broader view of sustainability challenges for a subfab in Europe
Jones, Chris

Jones, Chris
Environmental Solutions Business Development Manager
Edwards

Jones, Chris

Abstract
Energy, water, waste, climate change, pollution are repeated themes in many corporate sustainability reports. The EU’s Green Deal sustainability roadmap shows how climate neutrality and sustainability can fuel economic growth. In this paper we discuss how to meet some of the sustainability challenges encountered during the operation of vacuum and abatement on semiconductor equipment.

Biography
Chris Jones is a Ph.D. qualified chemist and has extensive experience in developing methods to help manage environmental compliance within the semiconductor, nuclear, military, and pharmaceutical industrial sectors, and is looking to communicate the importance sustainability to process developers, tool designers, and factory operators. We all need to have some understanding of how we integrate equipment into a fab to ensure that we have a profitable, safe, and environmental compliant facility. He is the Environmental Solutions Business Development Manager within the Edwards Organisation.

Fab Management Forum
Edwards Vacuum Edwards Vacuum Meredith, Richard
Mental Ill Health – The other invisible threat
Meredith, Richard

Meredith, Richard
Senior Manager, Field Service SHE
Edwards Vacuum

Meredith, Richard

Abstract
The subfab is a high pressure environment and continues to present significant hazards to the semiconductor workforce. Hazards such as toxic chemicals, radiation and high voltage are commonplace and, in general, well controlled. But are there unidentified hazards which can cause workers to take time off, quit and be less effective at work even to the point they could contribute to workplace accidents? And did COVID-19 bring more into the workplace than just a biological hazard?Using examples from Edwards’ experience and our journey so far, this abstract will explore the hidden problem of Mental Ill Health, what can be done to unearth it, causes of work-related stress, and, most crucially, what we can do to improve things so employees are happy, present at work (in mind and body) and working at their best.

Biography
Richard Meredith is the Senior Manager for Safety, Health and Environment in the Edwards Vacuum Semiconductor division. He is responsible, with a supporting global team, to ensure the health & safety of approximately 1700 field service engineers globally who work in the high-risk environment of semiconductor manufacturing. Richard has over 20 years’ experience in the semiconductor industry, initially in quality roles and for the last 4 years as a health and safety professional. He holds a law degree and is expecting to receive his Diploma in Occupational Health and Safety from the National Examination Board in Occupational Safety and Health in 2020.

Fab Management Forum
Entegris S.A.S. Entegris S.A.S. Amade, Antoine
Automotive Reliability – Contamination Management and Maturity of the Ecosystems
Amade, Antoine

Amade, Antoine
VP of sales for the Microcontamination Control division in EMEA/NA and Head of the Entegris automotive program
Entegris S.A.S.

Amade, Antoine

Abstract
As we move into a more electrified and automated reality, the sustainability of functional safe and secure electronic systems is a major concern of automobile manufacturers. The complexity of high-performance systems is not possible without the application of the latest semiconductor technology nodes. Now more than ever, auto makers must dig even deeper into their supply chains to identify and eliminate the root causes of potential hazards, many of which are created during the manufacture of the semiconductors that build the systems upon which drivers rely. To truly address functional safety, it is essential that the automotive industry and semiconductor manufacturers work together to create frameworks that improve functional safety for all stakeholders by exploring and optimizing the intersection of contamination control, inspection, and test. Since SEMICON Europa 2018, Entegris has been spreading, with the support of SEMI and car makers, a New Collaborative Approach, a process to tackle defectivity with an improved contamination management strategy.With this presentation we want to share our progress. Is there any meaningful trend that is worth to report in terms of defectivity management? What have we learnt in terms of maturity of the ecosystems? Any correlation with the major technology inflection points? Where should semiconductor manufacturers focus their efforts?

Biography
Mr. Antoine Amade joined Entegris in 1995 as an application engineer in our semiconductor business. Today, he is the VP of sales for the MC Division in EMEA/NA focused primarily on growing the semiconductor business and developing new market opportunities through market strategies and the management of business teams. He also leads the Entegris automotive program.Mr. Amade has a degree in Chemical Engineering from ENS Chimie Lille and is a member of the SEMI Electronic Materials Group, the Global Automotive Advisory Council for Europe (GAAC) and the Platform for Automotive Semiconductor Requirements Along the Supply Chain (PASRASC).

SMARTx - SMART Mobility
G To top
GLOBALFOUNDRIES GLOBALFOUNDRIES Yan, Ran
Semiconductor Enabling Vr/AR as the New Dimension Of Human Connection
Yan, Ran

Yan, Ran
Business Unit Manager
GLOBALFOUNDRIES

Yan, Ran

Abstract
As the world Digitalization is rapidly accelerating and providing real benefit to each one of us, a spate of emerging technologies—especially, artificial intelligence (AI), virtual reality (VR), and augmented reality (AR) —are gaining prominence across industries. These technologies have their unique capability to reduce the distance between people and deliver fully immersive experiences in all kinds of environments. The power of VR/AR combined with AI also allows machines to operate at the cognitive level as humans and allows us to interact naturally with machines. Such emerging technologies bring huge opportunities to semiconductor, but also new challenges that need our attentions: see-through near eye microdisplay, real-time image sensing & processing, low-weight low-power for round the day usage. Those requirements are not only challenging for design house, but also for semiconductor technology. Instead of chasing down the advance node, the industry needs to collaborate vertically and find the right trade-off between speed, power and cost. In GlobalFoundries, we are taking up on this challenge with our partners to enable the next-generation VR/AR products based on our unique solutions. GlobalFoundries® (GF®) Microdisplay solutions are optimized to improve process speed and reduce leakage while enabling enhanced pixel driver functionality.

Get
High density area and leakage reduce with technology node shrinking
It significantly speeds up VR/AR applications to support real-time data analysis and edge computing. Our platform is compatible with multiple display technologies, like LCOS, and microLED.
LCOS microLED
22FDX Supports pixel size down to 2.5um with up to 2K x 2K resolution. Mirror reflectivity >65% at 450nm-650nm wavelengths. 22FDX Supports pixel size down to 2.5um. Supports ultra-high density ultra-low leakage Memory-In-Pixel design.
Globalfoundries microdisplay solutions
We are aiming to enable our technology down to 22nm to reach 4K resolution within 2 inches diagonal MicroDisplay. There are still more challenges to overcome before widespread consumer VR/AR applications. However, with our effort to advance foundry technology and collaboration with industry partners, in the not-too-distant future, we will see AR everywhere in our life and connect us in real-time without any “distance”.

Biography
After 10 years working in semiconductor and GLOBALFOUNDRIES® (GF®), I am so proud to be part of this vital industry and hold my exciting position as business line manager for Human-Machine-Interaction (HMI) products. My vision is to reshape HMI technologies all over the world and enable the AR/VR foundry solution with a special focus on MicroDisplay and image sensors. In GF®, we know we cannot do it alone. That is why I am glad to have our industry partners, research institutes, and government bodies support us, especial in Europe. In addition, we must have human needs in our hearts and do not forget about the minorities. Therefore, I am also a Diversity & Inclusion Partner in our German site to build better technology, a better workplace, and a better society. I hold an EMBA from ESCP Business school and a Ph.D. in Microelectronic Engineering from the National University of Ireland, Cork.

The Future of Computing Hardware
H To top
Henkel Electronic Materials Henkel Electronic Materials de Wit, Ruud
Thin Cu Plate-able Dielectric Material Developments for RF and Power Device Miniaturization
de Wit, Ruud

de Wit, Ruud
Business Development Manager EMEA
Henkel Electronic Materials

de Wit, Ruud

Abstract
Smart Electronics’ market trends like 5G, 6G and ADAS are driving advanced semiconductor packaging innovations towards higher functionality, enhanced connectivity at higher frequencies, RF signal interference isolation (shielding), smaller form factors (miniaturization) and reduced power consumption. To meet these future demands, semiconductor package designs continue to evolve towards challenging System-in-Package, Antenna-in/on-Package and Wafer Level architectures. Especially for next generation RF and Power devices, antennas and radars, the thermo-mechanical, thermal resistance and (di)electric properties of the assembly and packaging materials play a key role as well as fast and low temperature processing/curing. Exploring development work together with laser specialist LPKF last year resulted in a new SVHC free and low warpage Liquid Compression Molding (LCM) encapsulant suitable for Laser Direct Structuring. Deposition of 25/25um L/S Cu tracks and Cu plating of blind vias down to 50um have been demonstrated as presented during Advanced Packaging Forum in February this year. This APC presentation will cover further product development and test work together with LPKF on a new STENCIL PRINTABLE encapsulant aiming for <50um thin dielectric layers with 15/15um L/S Cu tracks and <50um Cu plated blind vias. Next to more functionality in same or even smaller package footprint, this “direct and additive Cu formation” technology can also deliver significant cost savings by introducing only three back-end approved processing steps of molding, lasering and plating (vs typically seven costly and time-consuming semi-additive processing steps like seed layer sputtering, masking, lithography, development, Cu plating, mask removal and flash etching).

Biography
Ruud de Wit is responsible for managing Henkel's Semiconductor, Sensor & Consumer Electronics Assembly Materials business development within EMEA region. Ruud has a BSc degree in Mechanical Engineering followed by several polymer, sales and marketing courses. Ruud is working for Henkel since 1990 in multiple positions including technical customer support, quality assurance and engineering, and global semiconductor account and product management. Last couple of years, Ruud's main focus is on exploring and driving new semiconductor packaging material development needs within Henkel to enable potential customers to design smaller RF and Power devices.

Advanced Packaging Conference
I To top
INFICON INFICON Behnke, John
Smart to the Rescue!
Behnke, John

Behnke, John
GM Final Phase Systems
INFICON

Behnke, John

Abstract
The semiconductor industry and its supply chain struggle have made the global news almost daily in 2021. This has led many countries to create Semi specific investment initiatives to improve their domestic production of chips to better control their supply chain. These efforts include funding proposals for new regional fabs as well as improving existing production capabilities. This presentation will not comment on the political motivations of these efforts but will instead focus on the criticality of Smart Manufacturing solutions to these efforts. Whether getting more capacity out of existing fabs, enabling fabs run a broader mix of technologies and products for a longer period or to ensure a new site is built with tomorrow’s technology instead of yesterday’s Smart Manufacturing is key. We will review the elements of Smart Manufacturing that can be rapidly deployed in an existing fab to deliver high ROI through improvements in output and cycle time. These initial key elements are intended to require few fab resources to deploy since they are spread thin today. Quickly establishing these capabilities can help maintain a company’s historical supply reputation during these high demand times. Follow on additional Smart Solutions can be added to further improve existing fabs. Green Field new fabs offer more opportunities to leverage Smart solutions but will not deliver significant output for years due to the time required to build. A “Must Have” list of existing and in development Smart solutions for these fabs will also be reviewed.

Biography
Mr. Behnke has 35 years of semiconductor industry experience including: logic and memory manufacturing, technology/product development and fab operational excellence. As the GM of Final Phase Systems an INFICON Product Line, John leads a team that develop and deploy SMART software solutions that enable fabs to improve their manufacturing efficiency. FPS’s suite of software solutions are built upon a common Datawarehouse which enables advanced Fab Scheduling and optimized WIP movement as well as other related capabilities. He is also a Co-Chair of the Semi North America Smart Manufacturing Special Interest Group. Prior to FPS John served as the CEO and President of Novati Technologies, the SVP and GM of the Semiconductor Group of Intermolecular, the CVP for Front End Manufacturing, Process R&D and Technology Transfers at Spansion and the Director of AMD’s Fab 25’s Engineering and Operations groups where he was a founding member of AMD’s Automated Precision Manufacturing (APM) initiative which led the Semiconductor industry’s development and use of APC and other advanced factory systems. He also led the successful conversion of Fab 25 from Logic to Flash memory which was enabled through the virtual automation of the fab.Mr. Behnke earned a B.S. degree in Mechanical Engineering with an Industrial Engineering Minor from Marquette University. Mr. Behnke holds five U.S. patents.

Fab Management Forum
IO Tech IO Tech Birnbaum, Ralph
Laser Assisted Deposition for Electronics Mass Production
Birnbaum, Ralph

Birnbaum, Ralph
Director of Business Development
IO Tech

Birnbaum, Ralph

Abstract
We can divide all printing technologies into non-digital and digital methods. Usually, the non-digital methods are used for high throughput printing. The most common are screen printing and stamp pressure printing.Digital printing techniques can be divided into nozzle based and laser-based technologies. The former uses a physical hole to ensure the printing volume/resolution, while in the latter the resolution is defined by the size of the focused beam. The nozzle-based technology (NBT) can be operated using either of two dispensing modes. The first, is the mode which underlies the inkjet technology and is called “drop on demand”. The material is printed drop by drop. In the second mode, which is used in micro-extrusion printers, the material is printed continuously. Nozzle based technologies allow printing of a large range of material types, with robust and stable properties. For this reason, this method is very useful for die bonding and different assembly applications. A major drawback however, is the requirement for constant maintenance due to clogging of the nozzles. There is an undesired trade-off here between throughput and resolution. The speed of the system decreases in proportion to the resolution. In fact most of these systems are “single nozzle” as opposed to standard inkjet which includes hundreds of nozzles in parallel The precision and speed is limited by the constraints of the motorized system. Another method is LAD (Laser Assisted Deposition) also called LIFT (Laser Induced Forward Transfer). In it, a carrier substrate is coated with the material to be printed, and a pulsed laser beam is focused onto its interface with the material. The incident laser pulse is absorbed by a thin layer of the donor material. At sufficiently high laser pulse energy, a drop of the printing solution is locally deposited on the printing substrate. The physical process behind this consists of a fast evaporation of the solvent which causes bubble formation and vapor expansion, forming the jetting of a droplet. LAD is a nozzle free drop-on-demand method. It is therefore possible with LAD to print practically all flowable materials.This paper describes the basics of LAD and presents a few applications in electronics, where each one emphasizes certain capabilities of the method. Amongst these capabilities are the printing quality and resolution, and the ability to print high viscosity materials printing in 2D and 3D shapes.

Biography
Ralph BirnbaumHerve JaviceGuy NesherMichael Zenou

Advanced Packaging Conference
K To top
Kistler Instrumente Kistler Instrumente Hillinger, Robert
Monitor Mechanical Stress and Damage in Advanced Packaging
Hillinger, Robert

Hillinger, Robert
Business Development Manager
Kistler Instrumente

Hillinger, Robert

Abstract
AI, 5G, IoT, ADAS, AR/VR and other new applications is giving the semiconductor industry plenty of growth opportunities. With the adoption of these technologies the pressure is on, to increase performance. The industry is using the power advantages of lower technology nodes and Advanced Packaging to put increased functionality on a single small form-factor which makes production processes even more challenging. This advancements in semiconductor technology and added device complexity put additional pressure on monitoring and controlling Semiconductor packaging processes. The optimization of processes is a pre-condition for high reliability which is achieved by selecting appropriate materials and controlling critical process parameters. Currently Chip test, monitoring and control of packaging processes is widely done via optical and displacement Sensors. Improved methods for process monitoring and failure identification are needed to maintain or improve the quality and yield of a packaging process.The physical force quantity causing a device failure may not be accessible to conventional measuring methods but is equally important to control and monitor production processes such as bonding, pick and place and encapsulation.Piezo dynamic force measurement technology allows force to be monitored and controlled with high resolution even at low forces. As a result, deviations can be detected early, errors avoided, and Semiconductor Advanced Packaging Equipment builders can achieve higher and more accurate machine performance. Semiconductor Manufacturing-Packing companies in the semiconductor industry benefit from higher process visibility, performance, lower quality cost and traceability of process data.

Biography
Robert Hillinger has a degree in Electrical Engineering from the HTL Mödling in Austria. Since his studies he has worked as an Electrical Engineer, Product Manager and Business Manager in the Automation Industry. In 2018 he joined Kistler Instrumente in Winterthur, Switzerland who is a leading Measurement Company with own R&D, Production and global presence. Robert Hillinger works as Business Development Manager and supports Semiconductor customers to get better process visibility with Piezo Force Sensor Technology.

Advanced Packaging Conference
M To top
Micro Systems Technologies Micro Systems Technologies Martina, Manuel
Advanced Materials and Interconnection Technologies for Highly Miniaturized IoT Modules
Martina, Manuel

Martina, Manuel
Head of Strategy
Micro Systems Technologies

Martina, Manuel

Abstract
Standardization of semiconductor packaging and interconnection technology is a key aspect to allow for large scale manufacturing and commercialization. However, to achieve maximum performance and higher integration density allowing for smallest form-factors, precisely tailored solutions and the application of novel and unconventional materials and interconnection concepts must be chosen, while manufacturability needs to be preserved.In the first part of this work, novel materials, assembly, interconnect, and packaging processes were developed, which can be combined to realize highly miniaturized, hermetic IoT devices.Applying this toolbox, a technology platform to manufacture customized Systems-in-Package (SiP) for IoT applications was developed. The base components are integrated in a SiP with through-package-via (TPV) interconnections and are soldered to an advanced flexible substrate. On top of the SiP, a second interconnection substrate with a customizable sensor configuration can be attached. On the bottom level, the flexible substrate allows for several additional system components to be attached. In a final step, the whole system can be miniaturized or custom-shaped by folding the substrate into the desired form factor.To realize advanced flexible substrates, novel processes to structure and manufacture liquid crystal polymer (LCP) circuits were developed. Resulting, flexible LCP substrate with lines/spaces down to 15/15 µm were achieved which offer flexibility for folding, biocompatibility, and chemical inertness. Notably, a special lamination process was developed which allows to encapsulate copper traces as well as to embed semiconductors and passives inside the LCP substrate without forming interfaces as it is the case with bond sheets. Resulting, hermeticity could be achieved while drastically increasing reliability. Furthermore, LCP offers low radio-frequency dielectric losses and a stable dielectric constant.A miniaturized IoT-Module with a volume of only 1.3 cm³, a broad range of sensors including a microphone and electrochemical measurements, in a hermetic housing with electrical feedthroughs in LTCC, with wireless charging and an IoT-SiP was designed and manufactured to demonstrate this packaging approach. The module can be connected to any smartphone via Bluetooth Low Energy (BLE) and allows to monitor temperature, pressure, sound, impedance, illumination, position, and acceleration even under harsh environments and in liquids.

Biography
Manuel Martina received the B.Sc. degree in physics from RWTH Aachen University, Aachen, Germany, in 2011, the M.Sc. degree in physics as well as the Ph.D. degree for his work in near-field optics and microfabrication/nanofabrication from the Eberhard Karls University of Tübingen, Tübingen, Germany, in 2014 and 2017, respectively.He worked as a Researcher in several fields of microsystems technology and on the interface to biology with the Natural and Medical Sciences Institute, Reutlingen, Germany. From 2017 on, he was working as Manager of Next-Generation Products with the Research and Development Department at Schweizer Electronic AG, Schramberg, Germany. His research included semiconductor embedding and packaging in high-end printed circuit boards for future automotive radar and industrial 5G applications, and for future power electronics. Since 2021, he is working at Micro Systems Technologies in the fields of advanced semiconductor packaging and packaging substrates.

Advanced Packaging Conference
R To top
RENA Technologies GmbH RENA Technologies GmbH Kühnlein, Holger
Advanced Silicon Carbide Single Wafer Wet Chemical Etching and Polishing at Ambient Temperature
Kühnlein, Holger

Kühnlein, Holger
Senior Vice President Technology & Innovation
RENA Technologies GmbH

Kühnlein, Holger

Abstract
Silicon carbide (SiC) is the material of choice for next generation power devices in fast growing applications like electromobility and renewable energies. While a strong market demand meets a challenging wafer manufacturing process, material shortage drives substrate costs and limits faster roll out of this technology. Additionally, the well developed and established wet chemical etching and cleaning processes for silicon substrates like RCA clean, SPM and APM do not achieve the required performance for SiC processing due to the chemical inertness of this new material. By increasing the temperatures of the wet processes and applying pressure, the desired etching rate could be reached, but the required equipment solutions and the wet chemical processes would get very complex and challenging for integration in high volume production.Electrochemical etching can overcome these hurdles at ambient conditions. This contribution introduces a novel technology for single-wafer electrochemical etching of SiC, porous SiC formation and polishing. The patented solution relies on touchless electrical contacts. It ensures fast SiC etching at zero edge exclusion and competitive costs. The system allows new degrees of freedom in device design and enables advanced process flows for SiC wafer and device manufacturing.

Biography
Holger H. Kuehnlein, PhDDipl. Chem.Senior Vice President Technology & InnovationRENA Technologies GmbHHolger H. Kuehnlein has his scientific background in physical chemistry with focus on electro and polymer chemistry. Starting his chemistry studies in 1999 at Technical University of Dresden he was able to gain early industrial experience for ECD of flip chip bumps and packaging at KSW Microtec AG a local startup company for flexible RFID labels and devices. Until 2004 the scientific works at university focused on improving mass transfer rates and metal deposition properties in microstructure using pulse plating technologies supported by magnetic field effects.For his PhD thesis at ATOTECH Germany GmbH Berlin he worked on kinetic and material property studies of the electrodeposited ternary alloy system Cu2ZnSn and the transfer to CZTSSe compound semiconductor material for thin film photovoltaics. This base opened the opportunity for him to enter RENA Technologies in 2007 during early industrial spread of silicon PV. After guiding different positions as product manager and R&D team leader Holger H. Kuehnlein is heading since 2015 the Department of Technology & Innovation inside the RENA group. While his focus remained on wet chemical processes he drove the successful diversification strategy of RENA and entered with his team the business fields of glass displays, semiconductor wafering and plating. His current development works focus on new wet chemical processes for compound semiconductors as Silicon carbide and Lithium ion batteries using electrochemical processes for polishing, porosification and deposition.

SMARTx - SMART Mobility
S To top
Schott AG Schott AG Letz, Martin
Thin Glass for Wafer- And Panel- Level Packaging: On the Route Towards Industrialization
Letz, Martin

Letz, Martin
senior principal scientist
Schott AG

Letz, Martin

Abstract
With the development of semiconductor technologies, glass wafers are getting more important as a carrier material for temporary bonding with silicon wafers in semiconductor applications such as 3D IC, RF IC Packaging and Fan-out Wafer Level Packaging. We discuss glass carrier wafer products with unique properties: (i) an extremely low TTV < 0.6 µm along with low flatness that ensures almost no warping or bowing of the glass in the application. (ii) The broad coefficient of thermal expansion (CTE) range from 3.2 to 9.4x10- 6 /K can match Si but can also be closer to higher CTE materials like epoxy molds and metal layers. (iii) Excellent UV transmission enables highly effective laser debonding, e.g. 1.1mm thick Borofloat 33 HT has a transmission >60% at 248nm and >90% at 307nm. Upon the latest breakthrough of glass and related processing technologies, our glass carriers enable the miniaturization of manufacturing in both front-end and back-end processes of semiconductors.Glasses can be also used as core substrate for panel- and/or wafer-level packaging to achieve heterogeneous integration in increasingly complex packages. Glass has a large number of advantages: The stiffness of glass (iv) allows manufacturing of highly accurate buildup layers with manufacturing precision of 1μm and below. Special glasses can be made with very good dielectric properties (v) and can also be applied in antenna-in-package applications. But most of all, economic glass structuring techniques (vi) which can provide millions of vias and thousands of cut-outs in a glass panel are important and are being developed. SCHOTT's Structured Glass Portfolio FLEXINITY and related technologies provide an excellent starting point for highly sophisticated structured glass substrates required for RFIC Packaging. The biggest hurdle for a large scale commercialization of glass panel packaging is industrial readiness.This is needed to bring glass panel packaging in applications like IC-packaging or, in combination with cut-outs for fan-out, embedding of active and passive components. Also metallization processes with good adhesion, excellent electrical properties and high geometric accuracy for glasses are an important step. In the current manuscript we review the status and discuss our contribution towards achieving industrial readiness for glass in panel- and wafer-level packaging.

Biography
Martin Letz works with SCHOTT, a special glass company, as a senior principal scientist. He joined SCHOTT in 2001 and was involved in several projects regarding materials for semiconductor structuring. Since several years he focusses on glasses and glass ceramics for electronic applications and their properies. One focus is on materials for antenna and filter structures for wireless data transfer. A second focus is on miniaturization of electronics using glass packaging. Prior to that he received his phd in solid state physics from the University of Stuttgart in Germany and had several positions in research institutions and Universities (Tartu University (Estonia), Max-Plack Institut (Stuttgart, Germany), Queens University (Kingston, Canada), University of Mainz (Germany)) working on different aspects of strong correlations in condensed matter.

Advanced Packaging Conference
Siconnex customized solutions GmbH Siconnex customized solutions GmbH Buchberger, Mario
How to Replace Conventional Wet Etch/Clean Tools with Batchspray® Equipment, While Reducing Chemical Costs and Achiving More Clean Room Space?
Buchberger, Mario

Buchberger, Mario
Global Account Manager
Siconnex customized solutions GmbH

Buchberger, Mario

Abstract
A case study, that was done together with a customer, shows the benefits of moving from conventional wet etch/clean tools to a BATCHSPRAY® equipment.Due to that change the customer generated clean room space and reduced the chemical consumption to a minimum.These benefits were also achieved by a new wafer handling system for automated BATCHSPRAY® equipment. It is called Retainer Comb Handling system (RCH).That system allows a huge open area on the wafer surface by which a good chemical exchange is given.This means high cost savings as well as a good return on invest.

Biography
Mario Buchberger started as Process Engineer at Siconnex in 2016, supporting customers around the globe in any process topic. After his role as Project Development Engineer, where his focus was on cost of ownership & return on invest calcualtions he became Global Account Manager in 2020 and handles several international accounts.

Fab Management Forum
Smart Systems Hub Smart Systems Hub Klingstedt, Hans
How Edge Computing Enables Predictive Valve Maintenance in the Semiconductor Industry
Klingstedt, Hans

Klingstedt, Hans
Senior Project Manager
Smart Systems Hub

Klingstedt, Hans

Abstract
In the presented use case, the goal was to replace the monitoring of production-critical ultra-pure water valves at the Dresden site of challenge owner GLOBALFOUNDRIES with a suitable AI-based sensor solution. Sensor-based monitoring of valves ensures predictive maintenance and uninterrupted production, not just in chip manufacturing. Defects in valves were previously unpredictable at challenge owner Globalfoundries - a U.S. semiconductor manufacturer with over 16,000 employees worldwide and the largest and most modern semiconductor plant in Europe.The scalable edge computing solution, developed jointly with Coderitter, Globalfoundries, Infineon, Sensry, T-Systems and a hub team, is based on special sensors that provide acoustic data. Attached to the valve a small multisensorplatform as a smart sensor edge device enables the fusion, analyzation and classification using machine learning algorithms. The solution also includes the forwarding of the data to the cloud and the clear presentation in dashboards.On the one hand, the case is highly relevant in the context of the worldwide lack of semiconductor chips. Creating “virtual” capacity by using AI-based predictive Maintenance solution is promising action not only for production plants of Globalfoundries but the whole industry. Finally, we will look at how solutions can be developed for companies at different stages of technology and market maturity and how this helps European industry from startups to large companies.

Biography
Career start as assistant to the board of directors at an automotive supplier group.Support of projects in supply chain and supplier management of C and E-Class series.2016 as in-house consultant, design of digital transformation with introduction of PLM and SAP systems.From 2020 onwards, specialisation in innovation management and support of co-innovation formats as well as projects in digitalisation consulting in the Smart Systems Hub.Developing innovative IoT testbeds and MVPs by guiding different project partners as well as cross-sector technology experts (industry, SMEs, start-ups) through an innovation process.Focus lies fast integration of IoT technologies and AI to solve a problem and align them in such a way that companies succeed in process improvements and develop new business models.

SMARTx - SMART Manufacturing
SOITEC SOITEC Roda Neve, Cesar
Engineered Substrates and Materials for 5G
Roda Neve, Cesar

Roda Neve, Cesar
R&D Program Manager
SOITEC

Roda Neve, Cesar

Abstract
information coming soon

Biography
Cesar Roda Neve was born in Madrid, Spain, in 1975. He received the Msc. Engineer degree from the ICAI Universidad Pontificia de Comillas, Madrid, Spain, in 2000. In 2012, he received the Ph.D. degree in engineering sciences from the Université catholique de Louvain (UCL), Belgium. From 2004 to 2006, he was with the Electronics Department of the University Carlos III of Madrid, Spain, where he worked on ROF links and optoelectronic devices. From 2006 to 2012, he joined the Microwave Laboratory at the Université catholique de Louvain (UCL), Belgium, where he worked on the characterization and application of Si-based substrates for RF integration, in particular the use of HR-Si, HRSOI, and trap-rich HR-SOI substrates, non-linearities and parasitic effects. From 2013 to 2016, he was with the 3D and Optical Technology group at IMEC, where he worked on signal integrity, power delivery networks and RF modeling with special attention to 3D stacking and packaging. From 2016 to 2020, he worked at M3Systems Belgium as project manager for GPS, interferences and satellite related projects. In 2021 he joined SOITEC Belgium as R&D Program Manager. His research interest are new applications for SOI substrates for RF, with focus in 5G and 6G communications.

Advancements in Wireless Tech
T To top
Technische Hochschule Ingolstadt Technische Hochschule Ingolstadt Elger, Gordon
Die-Attach Bonding with Copper Metal Pigment Flakes
Elger, Gordon

Elger, Gordon
Professor for Manufacturing Technologies of Electronics
Technische Hochschule Ingolstadt

Elger, Gordon

Abstract
Solid state sintering has emerged as a preferred die-attach process of choice for high temperature applications due to the formation of near bulk like interconnects which are capable of providing high temperature operations. Ag sintering under pressure is an industrialized process today. However, low cost alternatives, which offer comparable or better results under the same processing parameters, are desired. Among all metals Cu offers the next best thermal conductivity to Ag, is easily available, recyclable and the raw material cost are a fraction of that of Ag. Therefore, in recent years, focus has shifted to develop Cu sintering as a reliable alternative to the industrialized Ag sintering processes. However, Cu is highly prone to oxidation and the build-up of oxide layers is a diffusion barrier against material transport during sintering. We propose a novel approach by the use of micro-scale (3-5 µm), thin (200 nm) and high surface area (3.5 m²/g) Cu metal pigment flakes from Schlenk Metallic Pigments GmbH. Due to their design, the flakes stack over each other. This results in a dense and homogenous interconnect with a shear strength of ~ 40 MPa, while sintering under pressure of 10 MPa at 275 °C for 5 min. Since the flakes stack over one another, the overall surface area in contact is increased, thereby enhancing sintering. The stearic acid coating on the flakes, introduced during the ball milling process to prevent cold welding of the flakes is observed to be not only effective in preventing oxidation of the flakes, but also avoids agglomeration of the flakes during paste formulation and enabling excellent stencil printing capabilities.The effective stacking of the flakes also allows for sintering under low bonding pressure and realizing a well sintered interconnect even with a solids content of only 60 wt% in the paste formulation, compared to commercially available Ag sinter pastes with ~90 wt% solids content. A simple two-step sintering process similar to industrialized Ag sinter processes is realized, including pre-drying at 120 °C followed by isothermal sintering at 275 °C in an open bond chamber. The use of PEG600 in the paste formulation allows for an in-situ reduction of Cu oxides.The paste therefore offers an attractive low cost alternative to Ag sintering in die-attach bonding applications and can be introduced in the same equipment as presently used for Ag sintering under pressure.

Biography
Gordon Elger studied physics and made his PhD 1998 at the Free University of Berlin.Afterwards, he worked at Fraunhofer-IZM, Hymite GmbH, Electrolux and Philips GmbH in the field of optoelectronic, LED, MEMS, high frequency packaging and CAE, e.g. FEM and CFD for structural analysis and heat management.Since 2013 he is professor at the University of Applied Science in Ingolstadt (THI) for electronic manufacturing technologies and has built up a research team within the Institute of Innovative Mobility of the THI.Gordon Elger’s research is focused on microelectronics packaging and reliability, e.g. optoelectronic, sensor and power electronic packaging for automotive applications. One focus is the development of first and second level interconnects, e.g. residual free solder processes, new materials and processes for sintering. Another focus is the development of nondestructive measurement and test methods for reliability and quality insurance of interconnects, e.g. an automated transient thermal impedance tester for LED and power electronic devices. His research teams performs reliability analysis of interconnects and electronic modules. Based on the experimental data, models to predict the remaining useful life of interconnects are developed using physical “White Box” modelling (FEM) and data driven “Black Box” approaches.Since 2020 Gordon Elger is in addition head of the new founded Applied Research Center for “Connected Mobility and Infrastructure” of the Fraunhofer IVI. Sensor data fusion, smart electronic applications, condition monitoring and artificial intelligence based algorithm development for predictive health management are a second field of research within present projects of the new founded Applied Research Center.

Advanced Packaging Conference
Technological University Dublin Technological University Dublin Kelleher, John
Sustainable AI: Measuring and Reducing the Carbon Footprint of Deep Learning Model Development and Inference
Kelleher, John

Kelleher, John
Academice Leader ICE Research Institute
Technological University Dublin

Kelleher, John

Abstract
Artificial Intelligence (AI) has become a pervasive technology in modern societies. Naturally this has resulted in questions being raised regarding the ethical use of AI. However, a relatively under-studied aspect of modern AI is the relationship between AI and the environment. Used correctly AI has the potential to help our societies become move environmentally sustainable. At the same time modern AI, and in particular large Deep Learning models trained with powerful computers using massive datasets, have a direct environmental cost. In this talk I will discuss the environmental cost of modern AI practices and describe some of the ongoing research that is attempting to make AI more environmentally sustainable.

Biography
John is a Professor of Computer Science at Technological University Dublin. He is the Academic Leader of the Information, Communication and Entertainment (ICE) research institute, and a co-Principal Investigator at the Science Foundation Ireland ADAPT research centre, and a co-Principal Investigator at the SFI centre for PhD training in digitally enhanced reality (D-REAL). John has over 25 years of research experience in Artificial Intelligence, with a focus on the topics of natural language processing and machine learning. John has authored three books: Fundamentals of Machine Learning for Predictive Data Analytics (2020, MIT Press), Deep Learning (2019, MIT Press), and Data Science (2018, MIT Press). John's lab carries out research on natural language processing, machine learning for health and alsoo on the carbon footprint of deep learning. John's presentation at SEMICON will be on this last topic, the environmental impact of artificial intelligence.

Sustainable - Green & Trusted
Tofwerk Tofwerk Frege, Carla
Vocus: The Most Sensitive Detector of Air Molecular Contaminants
Frege, Carla

Frege, Carla
Application scientist
Tofwerk

Frege, Carla

Abstract
A fast and precise monitoring of room air and material outgassing is critical to ensure good product quality in the semiconductor industry. As cost per chip rises significantly from one technology node to the next, maintaining optimal yield is more than ever paramount. As such, measurement of air molecular contaminants (AMCs) from high to extremely low concentration levels (ppmv-pptv) has become of great importance within the different fab processes. Current technologies used for monitoring AMCs are specific to some categories (acids, bases, volatile organics, condensables) and/or fail detecting low concentration levels of AMCs. The TOFWERK Vocus chemical ionization mass spectrometer offers new insights into monitoring of AMCs in the fab with extremely fast time response (seconds), detection limits in the range of single digit pptv and high versatility being able to measure trace acids, bases, condensables and volatile organic compounds simultaneously . Vocus is also a mobile instrument that can, when necessary, be deployed to specific areas within the fab. In this work we present the use of a Vocus for continuous monitoring of outgassing after a process that simulated standard cleaning procedures of a FOUP (a specialized plastic enclosure used for wafer transport). For these measurements the outgassing of molecular acids (MAs) and molecular bases (MBs) was monitored over 16 hours in separate experiments simulating cleaning of a FOUP. With 1 min LODs in the range of 3-10 pptv, Vocus measures some molecular contaminants that persist at trace concentrations (10-30 pptv) for many hours.

Get
Concentration decay of common inorganic acids in a FAB environment. The markers show the quantification limit of each compound. Arrows on the right axis show the 1 minute LOD of the Vocus
Precise and sensitive measurement of the outgassing compounds could guide process adjustments to decrease defects related to queue time and optimize the cleaning process of individual FOUPs prior to loading with new batches of wafers. More importantly, such measurements could inform development of next generation of FOUPs using novel polymeric materials and new surface treatment procedures.

Biography
Carla Frege is an application scientist at Tofwerk AG (Thun-Switzerland). She holds a PhD degree in atmospheric and climate science from the Swiss Federal Institute of Technology in Zürich (ETHZ). Her interests lie in the application of atmospheric science to industrial use-cases. Her current projects include the research and development of sensitive technology for monitoring of airborne molecular contamination (AMC) in the semiconductor industry.

Fab Management Forum
TriEye TriEye Livne, Ziv
Seeing Beyond the Visible: The Short-Wave Infrared Revolution
Livne, Ziv

Livne, Ziv
Chief Business Officer
TriEye

Livne, Ziv

Abstract
Sensing in the SWIR spectrum enables several applications that are not possible with the use of Visible or NIR cameras, offering superior vision, functionality, and operability under all weather and lighting conditions. In the automotive market, it already enhances human driver capabilities and assists in the detection of previously invisible hazards on the road.In addition to vision under low visibility conditions, most materials are recognizable in the SWIR spectrum in comparison to VIS or NIR cameras. SWIR cameras can sense materials and make them “visible” and actionable to human operators, video analytics, or deep learning applications. By comparing the relative reflection of light of different materials in carefully chosen spectral bands, the differences between the spectral signatures, therefore between different materials, are revealed and easily detected.But while InGaAs-based SWIR cameras have been around for decades, serving the science, aerospace, and defense industries, they have not yet been used for mass-market applications due to their high costs, low production yield, and large form factor.TriEye is the pioneer of mass-market short-wave infrared (SWIR) sensing solutions. The company’s breakthrough, proprietary technology enables cost-effective and high-resolution SWIR imaging; via a patented CMOS process, we reduce the cost of a SWIR sensor by up to 1000x - thereby enabling SWIR technology for a variety of transformative applications across automotive, industrial, biometrics, security, consumer electronics, and medical markets. Join us in this fascinating session to learn about this world's first innovation and unlock the unique properties of SWIR sensing for mass-market applications, the complex vision gaps it is here to solve, and what is yet to be discovered.< div id="l-content" >

Biography
Ziv Livne is the CBO at TriEye, where he is part of the founding team that achieved a mass-scale technological breakthrough in record time, creating a giant leap in automotive safety. He has vast experience in R&D, product, and business development. Ziv was also an Investment Director at Grove Ventures, where he managed several investments in cutting-edge technologies. Ziv holds a BSc in Electrical Engineering from the Technion (Israel Institute of Technology) and an MBA from Tel-Aviv University.

SMARTx - SMART Mobility
Z To top
ZIAN & Co industrial consulting and recruitment ZIAN & Co industrial consulting and recruitment Zimmer, Andreas C.
Remote Operations / Training New Employees in Time of Disruption; Integrating New Training Solutions; Managing Operations when Staff is Digital.
Zimmer, Andreas C.

Zimmer, Andreas C.
Executive Search & Selection Consultant
ZIAN & Co industrial consulting and recruitment

Zimmer, Andreas C.

Abstract
CoViD has proven one thing: it is possible to run a company successfully without the physical presence of employees! What does this mean for the future? Will home office establish itself? What impact will this have on management and onboarding of new employees? How do I lead a digital team? It will depend on some factors to be used differently. In detail:Team building: lead teams horizontally. One success factor is that everyone is deployed according to their skills, meaning not only their professional qualifications, but also their skills in terms of ​​interpersonal relationships. The team leader will be well advised to select his team members from this aspect and deploy them accordingly. He is therefore not necessarily the most senior, but rather the one who is most likely to be able to organize, lead and motivate the team and enable them to focus on the task at hand: he's the coach on the sideline, not the best player.Communication: communicate openly, honestly and at an early stage. It is more about “listening” than “talking”, as a remote team will only be successful if all members have the same information and know exactly what is expected. Criticism is expressly encouraged, and suggestions should come from those who deal with the topic every day. “Leading through questions” should prevail.Clear goals, tasks, responsibilities: a precise distribution of tasks is essential. It will be important that those who are best qualified for the respective task take on responsibility, and this qualification is not necessarily a purely technical one. Likewise, it will be necessary to precisely define the goals and to adapt them, should this prove necessary. It is not a top-down process, but an iterative action that accompanies the process fluently. A framework should be set out within which the team members can move freely.Equipment, timing, schedule: ensure (as team leader) that the team has the necessary equipment available right from the start; give clear time constraints, keep an approved agenda, and stick to it.Participants (n ° of max): the team: as small as possible, as large as necessary.Develop people: give colleagues the chance to work out their ideas and suggestions; discuss these with them; give open, honest feedback; praise freely and honestly; give credit; think about each one in your final report.You'll end up successfully if you respect some simple lessons: coach your team; generate enthusiasm; develop people; ask; say “Yes, we'll do it”.

Biography
Andreas is an international acting personnel and industrial consultant with 25+ years’ experience. He’s a specialist for high-end technologies (Semi, LED, PV, Electronics, Test & Measurement, etc.), active throughout Europe, with customers in Europe, USA, Asia. He’s a permanent participant of leading exhibitions and conferences worldwide (SEMICON, INTERSOLAR, EU PV SEC, LIGHT&BUILDING, ELECTRONICA, PRODUCTRONICA) and fluent in three languages, written and spoken.Within the SEMI industry, he operates with his partners from SONAR GmbH, Munich (www.sonar-gmbh.com).Prior to his consultancy career, Andreas covered positions in Sales and Marketing with OSRAM, Germany and Italy, for almost ten years. Further on, he matured experience in controlling, change management, re-engineering. Andreas lives in Munich. He has one son and is in his spare time an avid tournament ballroom dancer and sailor.For more information, go to his LinkedIn-profile: https://www.linkedin.com/in/andreas-c-zimmer-16807112/, or contact him directly: aczimmer@zianco.com or +49 89 31988638.

Fab Management Forum