A To top
AEM Holdings Ltd. AEM Holdings Ltd. Nair, Chandran
Testing Innovation – Beyond the traditional way of testing to meet the demands of Highly Complex Devices
Nair, Chandran

Nair, Chandran
CEO
AEM Holdings Ltd.

Nair, Chandran

Abstract
We live in a world that's almost entirely connected by semiconductors, and everything we touch is the result of the convergence of different kinds of technologies. As chips become more complex, testing in mission mode ensures reliability. It addresses all of the potential corner cases that may be prevalent when the product is deployed in a real system.As a global leader in testing and handling solutions, we are committed to helping Semiconductor companies test a large number of chips. We enable them to cost-effectively get greater test coverage and ship out their products with a higher confidence level. In this presentation, the Chief Executive Officer of AEM, Chandran Nair, provides insights on how AEM is Testing Innovation.

Biography
As Chief Executive Officer of AEM, Chandran Nair takes the lead in growing the global business and expanding product offerings for the company. Together with his regional teams, Chandran is responsible for elevating AEM’s position as the global leader in offering application-specific, intelligent system test and handling solutions for semiconductor and electronics companies serving the advanced computing, 5G, and AI markets. With over 25 years of an established career in the semiconductor and instrumentation and technology industries, Chandran is experienced in various portfolios, including engineering, sales, marketing, and strategic planning. He has led teams in Asia, Europe, and the US to implementsales and marketing strategies and technology roadmaps to create robust and sustainable business growth and established meaningful relationships with partners and employees. Prior to joining AEM, Chandran was the President of the Robotics and Autonomous Systems Business Unit at ST Engineering, driving the projects on autonomous vehicles and robotics solutions for ports, logistics warehouses, and transport. Before ST Engineering, Chandran joined NationalInstruments (NI) in 1997. He was responsible for the growth of the industry-standard modular instrumentation platform, PXI, and the expansion of NI’s offices in APAC as Vice President. Under his leadership, NI’s business in APAC achieved revenue growth of almost USD400 million. Chandran is recognized as an accomplished business and technology leader as well as an expert and thought leader in the engineering and tech global community. The Asian Manufacturing Awards presented him with the Industry Leader of the Year (2016), and he sits on the board of the Singapore Science Centre and the advisory board of the Advanced Remanufacturing and Technology Centre (ARTC).Chandran is committed to inspiring, coaching, and empowering the people around him to innovate and grow their business.

Executive Forum
AEMtec GmbH AEMtec GmbH Negrea, Dan
Packaging in Europe - Micro Balling on Chips with a High Ball-count for Space Applications - an Extension of the Process Capabilities at AEMtec
Negrea, Dan

Negrea, Dan
SVP New Technologies
AEMtec GmbH

Negrea, Dan

Abstract
Packaging in Europe – and not in Asia - seems a strange business model. But it is not, if you consider the technology IP aspects, the challenges, the drive of some European industries (mainly the space industry) towards emancipation from the dominance of the US and Asian providers. AEMtec started the internalization of the Wafer Back End solder balling technologies for 4 years and increased permanently the portfolio of customers and products, mainly in the high sensitivity products, considered by our European customers and strategically critical to their business. The vision of a one-stop-shop for core technology products, for small and medium quantities became reality. The requirements specific to the "New space" projects target in the first line the cost reduction of all components but without compromising on the required quality and performance.The drive to miniaturization, considering the costs of the payload for satellites, leads to the use of chips with a high Ball count (whereby 5000 and more are rather the rule than the exception).We like to focus in our presentation on the challenges, both from a technical as well as from a regulatory perspective for the use of the non-hermetic, soldered, flip chip technology for space applications on the technological, down-to-earth, explanations concerning the implementation of the wafer back end processes. AEMtec actively participates in different projects and workgroups around ESA.By qualifying in house processes, having extremely stringent requirements in terms of reliability, AEMtec can guarantee very high Cpk levels for all relevant processes, followed in the SPC. The level of quality which needs to be achieved for the space applications, opens the way to multiple other types of applications, including high density large size chips for companies active in the detection technology, but also for applications in the automotive industry. So far the achieved performances of the wafer back end solder bumping line, which comprises all the necessary stages of the process, from wafer inspection to balling, washing, dicing, and which has been validated on multiple tens of thousands of chips, allow the use of micro balls with a diameter of 50 µm, on chips with up to 12,000 connections. Of course this miniature size of balls and the physical size of the chips cause a high unitary stress/strain on the balls, due to the difference in thermal coefficient in normal use - therefore the major importance of the cleanness and of the underfill processes. The tests performed for the qualification go far beyond the normal RTV cycles, thus guaranteeing a flawless quality.

Biography
For information purposes only

Advanced Packaging Forum
Air Liquide Air Liquide Misra, Ashutosh
How can a supplier help its customers fight climate change in the semiconductor industry? -The Air Liquide case-
Misra, Ashutosh

Misra, Ashutosh
Group VP Sustainability
Air Liquide

Misra, Ashutosh

Abstract
Air Liquide is a world leader in gases, technologies and services for industry and health. Its strategy for profitable growth over the long-term is that of a customer-centric transformation. It is based on operational excellence and the quality of its investments, on open innovation and the network organization already implemented by the Group worldwide. Air Liquide’s ambition is to be a leader in its industry, deliver long-term performance and contribute to sustainability.Air Liquide’s performance and its sustainability commitment go hand in hand. This commitment is key for both motivating the Group’s teams, nurturing the long-term trust of stakeholders and the Company’s long-term sustainability. All of the Group’s businesses are rolled out in a way that contributes to major environmental and societal challenges, providing industrial, transportation and healthcare solutions. These challenges, such as the climate and air quality, are growth drivers for Air Liquide. The Group is a responsible industry player, and at the end of 2018 committed to reducing the carbon intensity of its operations. Air Liquide contributes through its business and its commitment to reach certain Sustainable Development Goals (SDGs) introduced by the UN to eradicate poverty, protect the planet and guarantee prosperity for all by 2030. To illustrate this contribution, environmental and societal achievements are associated with the relevant SDGs in the performance section of this report.As part of its global approach to the climate, Air Liquide has set the most ambitious objectives in its sector. Known as ACE, these objectives break down as follows:Assets (A)Within its activities, including production, distribution and services, Air Liquide is committed to reducing its carbon intensity (a) by 30% by 2025, based on its 2015 emission levels.Customers (C)With its customers, the Group is also committed to a sustainable industry by promoting low-carbon solutions and developing new solutions.Ecosystems (E)With ecosystems, via an active dialog with all players (public authorities, industrial partners, NGOs, etc.), Air Liquide is contributing to the development of a low-carbon society, notably by developing biomethane for industry and transport and promoting hydrogen which, in both terms of mobility and energy, will play a key role in the fight against climate change and energy transition.For Air Liquide, strengthening dialog with Group employees, customers and patients, shareholders, suppliers, local communities and the public sphere is a strategic objective which contributes directly to the responsible growth that the Group seeks to implement. Through these ongoing discussions, the Group is committed to take into account their issues, identify priority development issues and share its ambition to contribute to a more sustainable world.In particular, with its customers, the Group is committed to working towards a clean and sustainable industry. Thanks to its essential molecules management (oxygen, hydrogen, carbon dioxide…) and the in-depth knowledge of its customers’ processes, Air Liquide offers technologies which allow them to improve the energy efficiency of their industrial processes and reduce their emissions.Air Liquide has identified two key drivers to reach this objective:(1) Rolling out low-carbon offerings and solutionsAir Liquide provides its customers with the possibility of outsourcing some of their processes in order to pool assets and thus reduce the amount of energy used by up to 20%. The Group is also developing offerings which will reduce transport related emissions, in particular through small production units installed at customers’ sites and new-generation cylinders which are 40% lighter than those made of steel. To improve the energy efficiency of combustion in the steel and glass industries, Air Liquide provides oxy-combustion solutions. This process consists of enriching air with oxygen to reduce energy consumption.(2) Co-developing innovative processes with its customersAir Liquide is working in partnership with its customers to introduce new solutions that will reduce the environmental footprint in various business areas: either by reducing, where possible, the CO2 emissions of its customers by offering innovative solutions (EnScribe offer for semiconductor industry, for example); or by capturing CO2 to give it a second life (CCUS – Carbon Capture, Utilization and Storage)or by storing it permanently (CCS – Carbon Capture and Storage, in depleted offshore natural gas reserves, for example).

Biography
As the Group VP of Sustainable Development at Air Liquide, Ashutosh is responsible for development and deployment of the Sustainability roadmap across the organization. This includes all environmental and societal components that are key to the Group’s strategy. Prior to his current position, Ashutosh was the Chief Technology Officer of Air Liquide’s Electronics business line, leading the definition of global technical vision and product development strategies for the semiconductor market. In previous role as the Worldwide Director of ALOHA™ Electronics Performance Materials, he oversaw Air Liquide’s advanced precursor business that supplies leading edge materials for CVD and ALD processes.Ashutosh joined Air Liquide in 1997. He holds a Ph.D. in Physical Chemistry and was nominated Air Liquide Group Senior Fellow in 2018. He is a co-author of the Handbook of Chemicals and Gases for the Semiconductor Industry, holds 27 US and International patents and has published over 25 research articles in refereed journals.

Fab Management Forum (FMF)
Executive Forum
Amkor Technology, Inc. Amkor Technology, Inc. Pancholi, Vineet
Heterogeneous Integration Test Impacts
Pancholi, Vineet

Pancholi, Vineet
Seniorr Director, Test Technology
Amkor Technology, Inc.

Pancholi, Vineet

Abstract
Moore’s law is not dead, nor is it dying – it is being reborn in the form of Heterogeneous Integration (HI). HI is a powerful design innovation that improves manufacturing yield without sacrificing quality. HI creates semiconductor devices by connecting chiplets and dielets from various fabrication nodes. System-in-Package (SiP) designs employ HI in various forms to reduce product footprint, increase product functionality and lower costs. Prior methodologies consisted of building one large die containing most of the needed functionality for a product. As dies get larger, they have a higher probability of being impacted by inherent wafer defect density, and therefore, are prone to a lower yield. Realizing this phenomenon, integrated circuit (IC) designers split the functionality of the large die into small chiplets or dielets. Leveraging the advantages of HI, SiP designers build modules containing 5/7-nm silicon technology for high end ASICS, while lower complexity functions continue to be built using lower cost, larger, silicon nodes.The switch to HI in SiP modules introduces unique testing challenges, where a test engineer needs to have a broad spectrum of expertise, covering the testing of: antennas, radio frequency (RF) devices, modulators and demodulators (modems), baseband, high-speed digital, serializer/deserializer (SerDes), photonics, power control and distribution, embedded actives/passives and interconnect technologies. This expertise must be applied to Wafer Probe Test, Partially Assembled Test (PAT), Final Test (FT) and System-Level Test (SLT).As assembly techniques vary, so do the challenges of package-level testing. Therefore, the scope of this talk will be limited to one assembly technique but can be adapted to other assembly techniques. To add relevance, this talk will focus on test while building a hypothetical SiP - 5G Micro-Base Station (MBS) using HI. The 5G MBS will be built using four HI sub-modules, one for the processor, two RF sub-modules and one power, MEMS and accessories module, all of which will finally be assembled on a single motherboard.The test methods for the processor module will cover high speed testing of reconstituted multi-chip ASIC wafers, testing Through Silicon Via (TSV) interposer and testing the processor subassembly using PAT and SLT. The RF sub modules test describes SLT and antenna in package (AiP), including testing phased array antennas with Over-the-Air (OTA) Testing. The last module will cover SLT for the MEMS & DC subsystems. The final SiP assembly will be tested using SLT.Through this talk, the attendees will gain an insight into the challenges of testing a complex HI SiP system.© 2020 Amkor Technology, Inc.

Biography
Vineet joined Amkor in Jan. 2019 and currently leads test technology development for 5G RF and high-speed digital production test methodologies. Before joining Amkor, Vineet worked in test development at Microchip Technology. Prior, he spent 19 years at Intel in a variety of test roles, including tester supplier management, test technology development (burn-in, final and system level test) and RF tester architect. Vineet holds a patent on semiconductor device testers and has earned master’s degrees in physics and electrical engineering from Arizona State University.

Advanced Packaging Forum
Analog Devices Analog Devices Olivadoti, Giuseppe
From Sensing to Action
Olivadoti, Giuseppe

Olivadoti, Giuseppe
Director, Digital Healthcare Marketing and Applications
Analog Devices

Olivadoti, Giuseppe

Abstract
The coronavirus pandemic has caused immeasurable impact on both human and economic levels. It has shown the fragility of healthcare systems and accelerated adoption of telehealth solutions, including remote patient monitoring and testing technology. Reliable wearable and remote patient monitoring systems depend on precision measurements. This session will explore trends in sensing and measurement technologies that enable and empower remote patient monitoring systems of the future.

Biography
Giuseppe Olivadoti joined Analog Devices in 2000. During his time at ADI he has held a number of positions across engineering, sales, and business leadership. Giuseppe is currently the Director of Marketing and Applications for the Digital Healthcare business at Analog Devices. Prior to this role, he has held sales leadership positions in Europe and the Americas.Giuseppe holds a Bachelor of Science degree in Electrical Engineering from Northeastern University and a Master of Business Administration from University of Phoenix. Giuseppe currently resides in the Boston area.

SMART MedTech Forum
Applied Materials Applied Materials Rosa, Mike
Technology and Equipment Roadmaps Enabling the More-than-Moore Wave
Rosa, Mike

Rosa, Mike
Sr Director, Technical Marketing
Applied Materials

Rosa, Mike

Abstract
During the past 20 years, the semiconductor industry has seen multiple transitions in enabling technologies supporting the growth of new markets, from what was the PC era to the era of Mobility and Social Media to what has now come to be defined as the era of AI, Industry 4.0, and Big Data. With each transition, these enabling device technologies also evolved—some moving to smaller nodes or larger wafer sizes, others requiring new materials or new unit process technologies. And, while the advanced-node devices continue to scale in support of these transitions, the growing segment of device technologies known as More-than-Moore (MtM) has swelled in volume to the point where none of the MtM market segments today (IoT, communications, automotive, power, and sensors) would be possible without these enabling device technologies.This presentation addresses the pivotal role MtM device technologies have played amidst the waves of industry transition. Through a lens of nine distinct megatrends currently shaping our society, it looks at what the future holds for this growing class of enabling device technologies. Along the way, it also discusses on-wafer technology inflections and their impact on product roadmaps of equipment providers in this space. Finally, it highlights several key device-level industry segments and discusses the key material or unit process technologies enabling next-generation capabilities in each, together with the role Applied Materials’ 200mm/300mm MtM equipment plays delivering them.

Biography
Mike and his team are responsible for defining strategic and technical product marketing and communications, technology inflections, and roadmap requirements for the continued development of ≤200mm and 300mm semiconductor equipment and processes for More-than-Moore (MtM) device technologies. Mike also supports the MtM Equipment group in a strategic business development capacity, focusing on M&A and inorganic revenue generation. With over 20 years of technology-focused product and business development experience Mike brings to his role significant MtM domain knowledge and technology commercialization expertise. Before joining Applied Materials, he held various contributor level and senior leadership positions within the United States and Australia, working for technology-focused companies that include Xerox Corp., PARC Inc., Australian Microelectronics Centre (AMC) and National ICT Australia (NICTA). He holds a Ph.D. in MEMS / microsystems design and fabrication and an MBA with dual majors in marketing and business strategy. He has authored more than 40 journal and conference publications and holds more than 28 U.S. patents concerning various applications of MtM technology.

Fab Management Forum (FMF)
Applied Materials Europe Applied Materials Europe Robson, James
Introduction
Robson, James

Robson, James
Corporate Vice President / Regional General Manager
Applied Materials Europe

Robson, James

Abstract
coming soon

Biography
James Robson is responsible for European customer accounts and achieving operational efficiencies across all segments and support functions. In this role, he chairs the European Regional Council to ensure that Applied Materials has the correct resources to support its strategy. He is also co-legal managing director for Applied’s site in Alzenau, Germany.James joined Applied as an etch process engineer and has served in engineering, sales, marketing, and management roles across the business units, including GM of European Crystalline Silicon Solar Products; VP of global sales for the Energy and Environmental Solutions group; and GM of the Glass and Web divisions. Earlier, he worked at Siemens Microelectronics and General Instruments.He received an honors BS degree in electrical and electronic engineering from the University of Edinburgh.

Fab Management Forum (FMF)
Applied Materials Israel (AMIL) Applied Materials Israel (AMIL) Englard, Ilan
MADEin4 Project Introduction: Metrology Advances for Digitized ECS (Semiconductor and Automotive) Industry 4.0
Englard, Ilan

Englard, Ilan

Applied Materials Israel (AMIL)

Englard, Ilan

Abstract
In the core of MADEin4 (a European Funded project) are the developments of new tools and methods which combine in an intelligent way, for both the Semiconductor and Automotive industries, the large amount of metrology data, with design, process and tools data to enhance productivity as well as predictability of the production processes.

Biography
Ilan Englard is a projects manager for Applied Materials (AMAT) Israel and MADEin4 project coordinator. He has 26 years of experience in the semiconductor industry as AMAT’s application engineer at Micron technology in the USA and Italy and as AMAT’s technologist at ASML in The Netherlands. He has authored numerous papers in the metrology and lithography fields. Ilan Englard holds an Electronic engineering degree and in recent years, additionally to his work for AMAT, he provides a consultancy and management services regarding European funded projects in the Electronic Components and Systems (ECS) domain.

EU Digital Future Forum
AP&S AP&S Zürcher, Stefan
Journey and Development history on SiC from 2015-2020: "From Single-Wafer Metal-Lift-off to Metal etch to Metal-Etch via Batch process”
Zürcher, Stefan

Zürcher, Stefan
Team Leader Process Engineering & Laboratory
AP&S

Zürcher, Stefan

Abstract
With this presentation we take you on an exciting journey around the topic of SiC. Using concrete practical examples, we will show you how wet process technology goes hand-in-hand with the customer - one of the world's leading semiconductor manufacturers worldwide. How wet process technology reacts flexibly to changes in customer production, responds to new challenges and develops concrete customer-specific solutions. Starting with a metal-layer process, over the optimized process metal-lift-off with DMSO as single wafer processing, metal-etching processes with end-point detection (also single wafer) up to the etch process of the innovative substrate SiC in the batch application. Both the changes in the semiconductor manufacturing processes, such as process modification and throughput increase, and the solutions derived from them in wet chemical processing will be presented. This is a success story resulting from close cooperation between a semiconductor production and a supplier of wet processing equipment and our famous DEMO & R&D center. Clear breakdowns of the various process data, parameters and conditions provide you with a detailed overview of the development from 2015 to 2020. Join us and experience the fascinating world of wet process technology!

Biography
Stefan Zürcher started in 2011 at AP&S as process engineer for wet chemical batch processes (etching, cleaning, UBM-eless plating, drying). Within 2012 he worked in the R&D department for batch and single wafer equipment and in the application laboratory at the AP&S headquarters. Becoming manager of the new AP&S Demo Center in 2015 and AP&S process manager in 2017. He is responsible for all tasks related to process performance, optimization and development on AP&S wet process equipment in single wafer, batch and parts cleaning applications. Patents in UBM-eless metallization technologies.

SMART Mobility Forum
Arbe Arbe Marenko, Kobi
Next Generation Radar- a Game Changer for Truly Safe and Smart Mobility
Marenko, Kobi

Marenko, Kobi
Founder and CEO
Arbe

Marenko, Kobi

Abstract
To meet the demands of smart and autonomous vehicle production, Tier 1s, OEMs and New mobility players need a sensing solution that can instantaneously respond to a full range of driving scenarios — identifying and assessing risk and executing path planning while offering a smooth driving experience for both the driver and those sharing the road.While this may sound feasible in theory, there are two major problems that challenge this notion. The first is that there is not a sensor suite capable of the achieving the desired level of performance and safety on the market. The second is that many of today’s sensor suites rely on expensive solutions, that limit the availability of ADAS and AV to premium and luxury vehicles.Through innovative use of proprietary radar technology and artificial intelligence (AI) algorithms, the combined technology enables a high sensitivity tracking that individually identifies entities such as pedestrians, bikes, and motorcycles even when partially concealed by vehicles or stationary objects. Direction, speed and velocity can be continuously sensed, delivering all levels of autonomous vehicles unceasing situational awareness in high definition. By analyzing the data gather from thousands of virtual transmitting and receiving channels, and significantly reducing the occurrence of false alarms to near-zero, next generation radar is poised go from a supportive sensor to the backbone of the autonomous sensor suite — a true game changer.

Biography
Kobi Marenko, co-founder and CEO, Arbe is a successful entrepreneur with over 20 years of experience leading technology and media startups from the seed stage to acquisition. Before founding Arbe, Kobi was the Founder and President of Taptica, a mobile DSP acquired by Marimedia, and Founder and CEO of Logia, a mobile content platform acquired by Mandalay Digital. At Arbe, Kobi, and the team are leading a RADAR REVOLUTION with an unparalleled 4D high-resolution imaging radar technology. Together, the team is driving a zero-road-fatality reality by enabling truly safe driver-assist systems, paving the way for a fully-autonomous driving future.With $55M raised to date, Arbe has been recognized by Gartner, Frost & Sullivan, Tech AD, TechCrunch Disrupt TLV and Wired Magazine as a groundbreaking company disrupting the automotive market.

SMART Mobility Forum
ASE Group ASE Group Chang, Yin
The Future is Heterogeneous Integration
Chang, Yin

Chang, Yin
Senior Vice President, Sales & Marketing
ASE Group

Chang, Yin

Abstract
Semiconductor technologies are fueling the digital transformation that is solving some of the world’s greatest challenges. Our connected lives generate an incredible 2.5 quintillion bytes of data daily, and the way such data is transmitted, analyzed, and disemminated is driving unprecedented demand for bleeding edge digital networks, connectivity, storage, memory, edge to cloud compute, and so much more. Never has the need for semiconductor innovation been greater, and our industry is stepping up.Heterogeneous Integration refers to the integration of separately manufactured components into a higher-level assembly (System-in-Package, known as SiP) that in the aggregate provides enhanced functionality and improved operational characteristics. It is now the key technology direction going forward, driving the pace of advancement for greater intelligence and connectivity, higher bandwidth and performance, and lower latency and power per function, all at a more manageable cost.Yin Chang will take the virtual stage to introduce the scope, reach and power of heterogeneous integration, describing how broad ecosystem collaboration is positioning the industry to initiate a new era of technology and scientific advances that will continue and complement scaling into the future. Chang will expand by exploring some of the innovations poised to achieve unprecedented impact on the way we live, work, play and communicate.

Biography
Ingu Yin Chang, Senior Vice President, Sales & MarketingASE GroupIngu Yin Chang is Senior Vice President, Sales & Marketing, at ASE, based in Sunnyvale, California. In his current role, he is responsible for developing and executing sales strategy, while driving marketing activities for ASE’s expanding packaging, systems, and integration solutions portfolio. Prior to joining ASE in 2013, Yin was CEO of Vertical Circuits Inc. (VCI), a company focused on the development of next generation vertical interconnect for next generation silicon integration. Previously, Yin performed a variety of management roles covering sales and operations at Amkor with responsibility for the Greater China region. He has over twenty years of leadership experience in executive management, sales, business development, IP management and strategic alliance. Yin received his material science engineering degree from University of California, Berkeley.

Executive Forum
ASE Group ASE Group Chen, William (Bill)
The Growing Momentum of Heterogeneous Integration
Chen, William (Bill)

Chen, William (Bill)
ASE Fellow & Sr. Technical Advisor
ASE Group

Chen, William (Bill)

Abstract
coming soon

Biography
Dr. William (Bill) Chen is chief architect for technology strategy, lead mentor, and hands-on engineer for strategy implementation at ASE Group, blazing the trail for packaging innovators and innovation across the electronic industry ecosystem. His strategy portfolio includes SiP, copper wire-bond, 2.5D packaging, & fan-out wafer-level packaging, all game changing technologies brought to high volume production to address new demands for emerging applications in IoT, cloud computing, autonomous automotive, AI and smart mobility.Previously, Bill spent over thirty-five years at IBM, where he pioneered the concept and implementation of predictive verified modeling incorporating materials science, micromechanics and finite element for design and manufacturing benefiting generations of packaging products, from BGAs to mainframe systems.Bill is a past president of the IEEE Electronics Packaging Society and was the co-chair of the Packaging & Assembly TWG at ITRS until its closure by SIA in 2016. He now chairs the Heterogeneous Integration Roadmap, co-sponsored by three IEEE Societies (EPS, EDS & Photonics) together with SEMI and ASME EPPD. He is the recipient of IEEE Electronics Packaging Technology Field Award and ASME InterPACK Award. Besides being ASE Fellow, he has also been elected IEEE Fellow and ASME Fellow.

Advanced Packaging Forum
Aspinity Aspinity Doyle, Tom
Analyze-first Architecture for Ultra-low-power Always-on Sensing
Doyle, Tom

Doyle, Tom
CEO
Aspinity

Doyle, Tom

Abstract
Abstract:Over the next five years, billions of hands-free, battery-operated, always-on sensing devices in consumer, IoT, biomedical and industrial markets will assist us in our daily lives at home and at work. As users become more dependent on such devices, they want smaller always-on products with longer-battery lifetimes. MEMS and sensors suppliers who can deliver more power-efficient solutions in ever-smaller form factors will gain a competitive edge — but how is this possible with standard signal-processing architectures?MEMS and sensors suppliers can achieve incremental improvements in system power by improving each component in the system, but to effect great change, we need a system-level approach that achieves significant power- and data efficiency. The problem is that the current “digitize-first” system architecture digitizes all the incoming sensor data early in the signal chain — even mostly irrelevant data — before sending it to the cloud for processing. Without an alternative architectural solution, MEMS and sensors suppliers can only do so much.A new “analyze-first” edge system architecture that uses ultra-low-power analog processing and analog neural networks now enables the detection of events — such as voice, specific acoustic triggers or a change in vibrational frequency — from raw, analog sensor data, before the data is digitized.This “analyze-first” architecture reduces the volume of sensor data that is processed through higher-power system components (e.g., digital processors and ADCs) by up to 100x, which reduces always-on system power by 10x.MEMS and sensors suppliers can easily integrate with the “analyze-first” edge architecture to enable smart portable products that run for months or a year instead of days or weeks.

Biography
Tom Doyle brings over 30 years of experience in operational excellence and executive leadership in analog and mixed-signal semiconductor technology to Aspinity. Prior to Aspinity, Tom was group director of Cadence Design Systems’ analog and mixed-signal IC business unit, where he managed the deployment of the company’s technology to the world’s foremost semiconductor companies. Previously, Tom was founder and president of the analog/mixed-signal software firm, Paragon IC solutions, where he was responsible for all operational facets of the company including sales and marketing, global partners/distributors, and engineering teams in the US and Asia. Tom holds a B.S. in Electrical Engineering from West Virginia University and an MBA from California State University, Long Beach.

MEMS & Imaging Sensors Forum
Asset Pictures Asset Pictures Blake, Tessa
Overcoming an unconscious bias
Blake, Tessa

Blake, Tessa
Writer/Director
Asset Pictures

Blake, Tessa

Abstract
Focusing on gender, race & LGBTQI issues, filmmaker Tessa Blake gives an incisive presentation on how to spot bias and how to change it. With America in turmoil and industries across the nation being cited for systemic and wide-spread discrimination, it’s critical to see the unconscious triggers at work. Tessa provokes a discussion on practical modifications to help organizations and teams make small changes that make a big difference toward greater equity.Presented at the American Film Institute, Producer’s Guild of America, Writer’s Guild of America East, American Society of Cinematographers, Disney, Verve, Good Universe, Point Grey Pictures, the Visual Effects Society, University of Southern California, and University of North Carolina at Chapel Hill.

Biography
An AFI Directing Workshop for Women alumna, Tessa Blake is an award-winning filmmaker and television director (Blacklist, A Million Little Things, Station 19, Riverdale). Her films have premiered at Cannes and SXSW, been honored by the Academy, been released theatrically, and have played on Showtime, Oxygen and PBS. She and her husband Ian Williams work as a writing team for film and television. As Director of AFI’s Directing Workshop for Women and now the Nancy Malone Director for Diversity, Equity & Inclusion at AFI, Tessa has innovated several dynamic programs for underrepresented filmmakers in the industry, working with Disney, Sony, Universal & Fox to create meaningful opportunities for talented alumni.

Diversity Forum
AT&S AT&S Beica, Rozalia
Heterogeneous Integration - The New Driver of Innovation and Growth
Beica, Rozalia

Beica, Rozalia
Chief Sales Officer, Semiconductor Division
AT&S

Beica, Rozalia

Abstract
The explosive growth in data generated and computing needs, global network traffic and digital transformation are further driving the adoption of electronics and semiconductor devices. The need for more performing and smarter devices, with increased functionalities, that can address high bandwidth needs, faster speeds, parallel processing, with more efficient power consumption is driving the industry to further develop new and innovative technologies. While innovation in mobile devices continues, new emerging applications, such as IoT, Artificial Intelligence and 5G are expected to drive the next phase of innovation across the supply chain. The new driving forces are also shifting the importance in the industry from technology node scaling to system level integration. This presentation will give an overview of the global market trends highlighting the majorindustry trends and applications, the increased need and growth of heterogeneous and system level integration and the solutions that AT&S is bringing to the market to address current and future market needs.

Biography
Rozalia Beica is currently the CSO of Semiconductor Division, focusing on semiconductor and module activities within AT&S. Prior to AT&S she had several executive and C-level roles with various organizations across the supply chain: electronic materials (Rohm and Haas Electronic Materials, Dow & DuPont), equipment (Semitool, Applied Materials and Lam Research), device manufacturing (Maxim IC) and market research & strategy consulting firm (Yole Developpement). Rozalia is actively involved in various industry activities. Some of the current engagements include: Member of the Board of Governors for IEEE Electronics Packaging Society and Vice General Chair of 71th ECTC, Chair of the Heterogeneous Integration Roadmap WLP Technical Working Group, Chair of the Semi Strategic Materials Conference, Technical Chair of System in Package China Symposium, Advisory Board Member 3DinCites and IMPACT Taiwan. Past activities: IMAPS VP of Technology, General Chair IMAPS DPC, Program Director EMC3D Consortia, General Chair Global Semi & Electronics Forum, Technical Advisory Board Member SRC, several other memberships in industry committees. Rozalia has over 150 presentations & publications, including 3 book chapters on 3D Integration. Rozalia has a M.Sc in Chemical Engineering (Romania), a M. Sc. In Management of Technology (USA) and a Global Executive MBA from IE Business School (Spain).

Advanced Packaging Forum
B To top
Beneq Beneq Rabinzohn, Patrick
Atomic Layer Deposition process and equipment set to transform the More-than Moore era
Rabinzohn, Patrick

Rabinzohn, Patrick
Business Executive, Semiconductor Business Line
Beneq

Rabinzohn, Patrick

Abstract
After its inception with electroluminescent displays in the mid-80’s, Atomic Layer Deposition technology has enabled three decades of scaled advanced-node CMOS devices with high-k for DRAM and Gate oxide in the late 90’s, Gate metal and advanced patterning films around 2010 and 3D NAND Flash nano laminates since then. At the same time, the functional diversification of semiconductor devices with the addition of non-digital functionalities increasingly contributed to the miniaturization of electronic systems. In view of added functionality, this trend was designated by More-than-Moore (MtM). MtM devices complement the digital processing and storage elements of an integrated system by powering the system and allowing interaction with the outside world. The semiconductor industry entered the MtM era to support the megatrends of Mobility, Smart Automotive/Transportation, 5G, Industry 4.0 & Industrial IoT, Hyperscale Data Centers, New Human Machine Interface & AR/VR, Green Energy and Healthcare/Life Sciences. With its unique capability to precisely and conformally deposit a large range of critical materials as pin-hole free thin films at low temperature ALD is now set to enable More-than-Moore device technologies for the years to come. This presentation reviews current and future applications of ALD across Power Devices, RF filters and IC’s, MEMS and sensors, Image sensors, Photonics and LED’s and future market segments. It emphasizes the ALD materials and technologies enabling key functionalities such as high-k, surface passivation, nucleation and seed, chemical and moisture barriers. Beneq Transform™ ALD cluster tool platform and roadmap deliver manufacturing worthy processes with un-equaled versatility for wafer sizes from 75 mm to 300 mm and is set to transform the More-than Moore era.

Biography
Dr. Rabinzohn is Business Executive, Semiconductor at Beneq. He has 35 years of semiconductor industry experience across the Semiconductor, Semiconductor Equipment and Materials markets and has extensive knowledge on Equipment, Process, Process Integration and Technologies. Prior to Beneq he held several high profile roles including CTO for IoT/MEMS and Advanced Packaging at Lam Research, General Manager at Intermolecular, Managing Director for Microelectronics at Alchimer, and CTO Europe at Applied Materials. He graduated from Ecole Superieure d’Electricite (Supelec) and received a Ph.D. in Materials Science from Paris University.

Fab Management Forum (FMF)
Brillianetor Brillianetor Hadad-Segev, Meirav
Social Robot Collaboration use cases
Hadad-Segev, Meirav

Hadad-Segev, Meirav
Founder and CEO
Brillianetor

Hadad-Segev, Meirav

Abstract
Brillianetor’s ground-breaking SocialArtificial Intelligence (AI) technology cultivates human collaboration and social awareness skills in industrial robots. Our platform and tools enable organizations to easily deploy robots capable of efficient and robust teamwork, with rapid setup and development, increased production speed, reduced time requirements, and significantly lowered costs.

Biography
Dr. Meirav Hadad-Segev is the founder and CEO of Brillianetor, a proprietary AI technology platform for Social Collaborative AI, enabling organizations to deploy machines, bots, and robots with revolutionary capabilities for human-like collaboration skills. She is the inventor of novel technologies in the area of Multi-Agent Systems and has published extensively on the subject of AI.Dr. Hadad-Segev has a proven record in senior management in the High-Tech industry, specializing in the development of practical real-world applications for Multi-Agent AI in the fields of robotics, defense systems, games and simulators. She holds a Ph.D. from Bar-Ilan University and Post Doctorate from the University of Haifa with a specialization in Al Multi-Agent Systems.

EU Digital Future Forum
Bruker Bruker Vandermeer, Juliette
Advance X-Ray Metrology Equipment As Part Of A European Semiconductor and Automotive Industry 4.0 Cycle Time and Yield Improvements Scheme
Vandermeer, Juliette

Vandermeer, Juliette
Head of Application Development X-ray
Bruker

Vandermeer, Juliette

Abstract
TXRF (Total Reflection X-ray Fluorescence) is a non-destructive method to detect metal traces on wafer surfaces and plays an important role in the fab cleanliness monitoring process. Bruker improved the throughput and sensitivity for light element analysis of the TXRF platform in the MADEin4 project. In addition, Bruker collaborates with MADEin4 partners to test and assess wafers from their process.

Biography
My background is in physical chemistry and materials science. I earned my PhD from Utrecht University (Netherlands).I am with Bruker for more than 12 years, based in Karlsruhe, Germany.I have more than 10 years’ experience in X-ray metrology for the semiconductor industry.Currently I am working as product marketing manager in the Bruker Semiconductor division and I represent Bruker Semiconductor in European consortia and manage the collaboration projects with our partners.

EU Digital Future Forum
Bruno Kessler Foundation (Fondazione Bruno Kessler – FBK) Bruno Kessler Foundation (Fondazione Bruno Kessler – FBK) Casse, Gianluigi
Technology and Knowledge Open Hub: a Pathway to Future Imaging and MEMS
Casse, Gianluigi

Casse, Gianluigi
Director of the Centre for Materials and Microsystems
Bruno Kessler Foundation (Fondazione Bruno Kessler – FBK)

Casse, Gianluigi

Abstract
Current and future challenges in novel fields, such as quantum technologies, require a new paradigm of cooperation in the research landscape. In this evolving context, Fondazione Bruno Kessler is adapting its model to operate as an “Open Hub” of knowledge and technologies, with a focus on future sensing and imaging devices. After being conceived and engineered within the internal facility, these can be transferred to high-volume production sites: from radiation detectors to plasmonics, from silicon photonics to single-photon imaging, FBK is giving its contribution to the devices of the future such as quantum technologies, enabling novel mobility and automotive scenarios, space exploration, and faster and secure communications.

Biography
Gianluigi Casse is the Director (since January 2016) of the Centre for Materials and Microsystems (FBK-CMM) at the Bruno Kessler Foundation (Fondazione Bruno Kessler – FBK). It is a research centre with about 100 scientists and engineers and over 30 PhD students and visiting researchers. The Centre mainly focuses on materials and interfaces, sensors, devices and microsystems, renewable energy and environment.Gianluigi is a particle physicist who has previously worked at CERN and at the University of Liverpool where he holds a personal chair in physics. He is involved in the LHCb experiment and in the preparation for the upgrade of the ATLAS experiment to the high luminosity LHC. He has a long experience in the conception, design, deployment and operations of experiments for particle physics and is a leading scientist in the field, with crucial contributions to the state-of-the-art detector systems operating in the High Energy Physics (HEP) experiments like CDF at Fermilab (Batavia, IL, US) and ATLAS and LHCb at CERN (Geneva, CH).He is active in several international panels for HEP and instrumentation, has been program chair for a number on international conferences on instrumentation and, since 2010, is the co-spokesperson of the CERN-RD50 experiment for the development of radiation tolerant detectors for future experiments, with 64 institutes worldwide and over 400 member scientists.He has authored or co-authored over 500 publications in refereed international scientific journals.

MEMS & Imaging Sensors Forum
C To top
Chipmetrics Oy Chipmetrics Oy Utriainen, Mikko
Novel Platform to Solve 3D Nanometrology Challenge
Utriainen, Mikko

Utriainen, Mikko
CEO
Chipmetrics Oy

Utriainen, Mikko

Abstract
The future competitivity of microelectronics is based on the capability to develop components into smaller space with better energy efficiency and high performance. The 3D is a megatrend in semiconductor manufacturing eg. in the form of 3D transistors (FinFETS) and memory (3D NAND, and DRAM). High aspect ratio structures, new materials, and tighter geometries are challenges to the developers of process tools, materials, and inspection and testing.Chipmetrics business idea is to solve the problem by the MEMS-process based special silicon test chips and on-chip characterization concepts. The starting point is the PillarHall® Lateral High Aspect Ratio (LHAR) silicon test chip innovation for ALD/CVD thin film conformality metrology developed in VTT Technical Research Centre of Finland.Premium product is 15x15 mm PillarHall® LHAR4 test chip consisting of 18 LHAR test structures. In addition, the test chip has structures to monitor thin film stress in microscopic level. Special carrier wafer allows wafer level mapping of the thin film conformality, film properties on the trench wall and local stress.The benefits are such as:• Less need for destructive cross-sectional analyses and equipment investments. No sampling delay. Compatible to standard planar metrology techniques, in a simplest case by optical microscope image analysis.• The test chip is commercially available as a product, and allows to compare 3D perfomance by any thin film material or equipment vendor.• Wide compatibility to ALD and CVD systems and process conditions, including plasma assisted processes.• Extremely high aspect ratios, up to 10000:1, that are not available in the market otherwisePillarHall platform can accelerate learning about films to go beyond simple step coverage measurements and look at the detailed properties of films in high aspect ratio structures, anticipating problems early in the development process and providing detailed insights.

Biography
Mikko Utriainen received his PhD from Helsinki University of Technology in Chemical Engineering in 1999, with the topic: “Atomic Layer Deposition (ALD) thin films in chemical sensor applications”. At that time, the ALD technology was still in its infancy. Today, ALD tools are main stream in semiconductor industry. In his >25 years working career, Dr Utriainen has also managed tens of R&D project teams in industry and academy developing and commercializing sensors, instruments and automation for various applications. He has also worked as an advisor in R&D&I funding and policy in Finnish National Innovation Funding Agency and in EU-level. Furthermore, Dr Utriainen has founded 3 start-up companies to commercialize research-based deep tech innovations.Recently, he has founded Chipmetrics Ltd, utilizing his ALD and analytical instrumentation knowledge to commercialize novel 3D conformality nanometrology concept, PillarHall®.

MEMS & Imaging Sensors Forum
Cohu, Inc. Cohu, Inc. Cockburn, Peter
Session Chair
Cockburn, Peter

Cockburn, Peter
Program Manager
Cohu, Inc.

Cockburn, Peter

Abstract
Session 5 - New Material Developments

Biography
Peter Cockburn has worked in the ATE industry for over 30 years at Schlumberger, NPTest, Credence, LTX-Credence, Xcerra and now Cohu. He is currently responsible for several key interface projects including adding intelligence into test contactors to improve test cell efficiency and developing a range of high performance, low-cost interfaces for emerging 5G applications.After developing realtime and GUI software for ATE systems, he moved into product marketing and launched several new SOC ATE systems and analog test options as well as providing marketing and sales support in USA, Asia and Europe.As leader of the Test Cell Innovation team he was responsible for defining and delivering complete test cells to customers to reduce cost, increase uptime and improve quality when testing pressure and motion sensors, microphones and wafer-level packages.He has an Engineering degree from the University of Southampton, UK.

Advanced Packaging Forum
Comet Group Comet Group Crofton, Kevin
Are you ready for the new supercycle?
Crofton, Kevin

Crofton, Kevin
CEO
Comet Group

Crofton, Kevin

Abstract
Are you ready for the new supercycle?

Biography
Kevin Crofton is CEO of Comet Group, a leading technology company in plasma control and x-ray space. His career began 1982 in the aerospace sector. In 1994, Kevin Crofton switched to the semiconductor industry, where he held various management and leadership positions at companies like Lam Research Corporation in the USA, Newport Corporation, NEXX Systems and Aviza Technology UK (now SPTS Technologies). Kevin Crofton was President and Managing Director of SPTS Technologies from 2006 to 2020, and Senior Vice President of KLA.Kevin Crofton holds an MBA with a concentration in international business from American University and a bachelor’s degree in aerospace engineering from Virginia Tech University. He is very well established in the semiconductor industry: as an author of numerous important technical articles, as Vice Chairman of the SEMI International Board of Directors, winner of awards such as the MEMS Industry CEO of the Year 2013 and Board Chairman of Innovate UK’s Compound Semiconductor Applications Catapult.

Executive Forum
Comet Group Comet Group Wenzel, Thomas
Intertwined development of manufacturing processes and test technologies – a prerequisite for future success in advanced packaging
Wenzel, Thomas

Wenzel, Thomas
President X-Ray Systems, a division of the Comet Group
Comet Group

Wenzel, Thomas

Abstract
Intertwined development of manufacturing processes and test technologies – a prerequisite for future success in advanced packaging

Biography
Born 1965, German citizen, information scientist (University Erlangen, D) PhD in materials science and materials engineering (University of Saarland, D)Dr. Thomas Wenzel has an exceptional knowledge of the relevant x-ray systems markets and applications and 15 years of management experience at the renowned Fraunhofer Institute for Integrated Circuits in Erlangen, Germany, at last as Head of Department Process-Integrated Test Systems.From 2015 to 2018, Thomas Wenzel served as Technology Scout and Senior CT Expert for the Comet Group and played an important role in the further development of the X-ray systems business as interim Head of Research and Development before being appointed President in December 2018.

Advanced Packaging Forum
Cornami, Inc. Cornami, Inc. Rhines, Wally
Shaping the Global Digital Future Through Secure Information Sharing
Rhines, Wally

Rhines, Wally
President and CEO
Cornami, Inc.

Rhines, Wally

Abstract
Historical growth of the semiconductor industry has been driven by idea sharing among companies and individuals throughout the world. Although the free flow of innovation, information and people is being challenged, it will soon become possible to exchange the benefits and insights gleaned from data analytics without revealing the actual data. Dr. Rhines will address the semiconductor and software innovations required for real-time fully homomorphic encryption to become a reality. With this capability, we can secure the data rather than the data center and share the information in our data without revealing the actual data.

Biography
WALDEN C. RHINES is President and CEO of Cornami, Inc., a fabless software and semiconductor company focused on intelligent computing for fully homomorphic encryption and machine learning. He was previously CEO of Mentor Graphics for 25 years and Chairman of the Board for 17 years. During his tenure at Mentor, revenue nearly quadrupled and market value of the company increased 10X.Prior to joining Mentor Graphics, Dr. Rhines was Executive Vice President, Semiconductor Group, responsible for TI’s worldwide semiconductor business. During his 21 years at TI, he was President of the Data Systems Group, held numerous semiconductor executive management positions and was directly responsible for the creation and growth of the digital signal processing business which eventually comprised about 50% of TI’s total revenue.Dr. Rhines has served on the boards of Cirrus Logic, QORVO, TriQuint Semiconductor, Global Logic, PTK Corp., SRC and as Chairman of the Electronic Design Automation Consortium (five two-year terms). He is a Lifetime Fellow of the IEEE and has served on the Board of Trustees of Lewis and Clark College, the National Advisory Board of the University of Michigan and Industrial Committees advising Stanford University and the University of Florida.Dr. Rhines holds a Bachelor of Science degree in engineering from the University of Michigan, a Master of Science and PhD in materials science and engineering from Stanford University, a master of Business Administration from Southern Methodist University and Honorary Doctor of Technology degrees from the University of Florida and Nottingham Trent University.

Executive Forum
CyberOptics Corporation CyberOptics Corporation Skunes, Tim
Fast, 100% 3D Bump Metrology and Inspection to Improve Yields of 3D System Integration
Skunes, Tim

Skunes, Tim
VP R&D
CyberOptics Corporation

Skunes, Tim

Abstract
Advanced Packaging (AP) and wafer level packaging (WLP) continue to be among the most dynamic and rapidly evolving areas of semiconductor development and manufacturing. Most of these new processes take advantage of the third dimension, going vertical to continue packing more computing power into less space while circumventing the difficulties posed by further reductions in two-dimensional size. Packaging stacks include various configuration of single or multiple chips, interposers, flip chips and substrates, but in almost all cases, they rely on some form of bump to make the vertical connections between these components. The bumps may be solder balls, a technology that has migrated down from board level assembly and surface mount technology (SMT) or copper pillars or microbumps, formed with processes that have migrated up from the front end. Horizontal connections within packages are made by redistribution lines, also fabricated with front-end like processes. The result has been the development of a process/size regime sometimes called the middle-end, populated by hybrid processes and feature sizes ranging from 10 microns to 100 microns.As the processes and features they create have become smaller and more complex, manufacturers face an increasing need for high-precision inspection and measurement to detect defects and improve process control. This need is amplified by the fact that these processes use expensive known good die, making the cost of failure extremely high. Like middle-end fabrication process, metrology and process control technologies have also migrated up from the front-end and down from assembly. Bump metrology is fundamentally three-dimensional. Bump height is just as important as size and location. Controlling bump height, both absolute and relative to neighboring bumps (coplanarity), is critical to ensuring good, reliable connections between stacked components.Phase shift profilometry (PSP) is widely used for 3D automated optical inspection (AOI) by electronics manufacturers assembling printed circuit boards (PCB) with surface mount technologies (SMT). PSP is also used for solder paste inspection (SPI) by PCB manufacturers, and for dimensional measurements typically performed by coordinate measurement machines (CMM) in a variety of applications. PSP measurements are highly accurate and can be orders of magnitude faster than alternative methods. However, conventional PSP measurements can be significantly degraded by inaccuracies caused by multiple reflections between shiny surfaces on the inspected object. Effective suppression of these reflections is critical for accurate measurements.Multiple Reflection Suppression™ (MRS™) sensor technology addresses this challenge by comparing data from multiple perspectives and fringe frequencies to identify and reject these spurious signals. The MRS sensor’s unique optical architecture and the system’s proprietary image fusing and processing algorithms provide accurate 3D characterization that is several times faster than conventional PSP. The NanoResolution MRS sensor has been developed for advanced packaging processes control in what has been called the “middle-end” of the manufacturing process, where traditionally front-end and back-end processes overlap. The MRS sensor integrated into CyberOptics’ WX3000™ system provides sub-micrometer accuracy on features as small as 25µm. And, while retaining its ability to reject spurious multiple reflections, it adds the ability to capture and analyze specular reflections from shiny surfaces of solder balls, bumps and pillars, thus allowing accurate inspection and 3D metrology of these critical packaging features.The MRS sensor is 2-3X faster than alternative technologies. With data processing speeds in excess of 75 million 3D points per second, it delivers production-worthy throughput greater than 25 wafers (300mm) per hour. Complete 100% 3D/2D inspection can be accomplished at high speed for bump metrology, vs. the current practice of sampling approach. Both 3D/2D data is attained at the same time vs. time-consuming alternate methods that require separate scans for 3D and 2D. Keywords: High-precision 3D sensors, Multiple Reflection Suppression (MRS) Sensors, 2D/3D Inspection and Measurement Sensors, Wafer-Level and Advanced Packaging Metrology and Inspection Systems, CyberOptics

Biography
Timothy Skunes is the VP R&D at CyberOptics Corporation. He holds a M.EE, Optics and Electrical Engineering from the University of Minnesota. Timothy has been involved with the design and development of advanced 3D sensors for over 30 years. He holds 22 U.S. patents for optical measurement systems, optical manufacturing, and fiber optics devices. Previously, he was the Director of Product Development for CyberOptics from 2003 to May 2010; Vice President, Systems Development, Avanti Optics Corporation from 1999-2003; and Research Manager, CyberOptics Corporation from 1997-1999.

Advanced Packaging Forum
D To top
Duale Hochschule Baden-Württemberg (State Cooperative University Baden-Württemberg) Duale Hochschule Baden-Württemberg (State Cooperative University Baden-Württemberg) Hopf, Gregor
Drivers of Digitalisation: What is Digitalisation and Why can it Change so much?
Hopf, Gregor

Hopf, Gregor
Professor for Digital Transformation
Duale Hochschule Baden-Württemberg (State Cooperative University Baden-Württemberg)

Hopf, Gregor

Abstract
Digital Transformation is often misunderstood as a mere collection of computer-based technologies which allow for more efficient processes and possibly new product or service features. The change brought about by digitalisation however is more fundamental. The keynote will present and discuss the underlying powers of change which drive the digital transformation and which need to be understood in order to grasp and utliize its full powers of “creative destruction”.

Biography
Prof. Dr. Gregor Hopf received his PhD at the London School of Economics and is Professor for Digital Transformation at Baden-Württemberg’s State Cooperative University. In his research he specialises on questions of digital transformation namely online business models and online communication. Until 2016 he was the Head of the Taskforce for Digital Transformation of the State of Baden-Württemberg, coordinating all aspects of the government’s digital transformation agenda directly reporting to the prime minister.

Fab Management Forum (FMF)
E To top
Edwards Edwards Collart, Erik
Data Sharing and the Cost of Inaction
Collart, Erik

Collart, Erik
Global Product Manager EdCentra
Edwards

Collart, Erik

Abstract
In this web cast Erik Collart examines how customers are using data to improve effectiveness of the SubFab, reduce the maintenance effort and avoid unplanned downtime.

Biography
Erik Collart joined Edwards in July 2015 as Global Product Manager for Edwards’ vacuum and abatement equipment monitoring, data acquisition and integrated data management platforms. He has over 30 years of experience in the semiconductor industry. Prior to joining Edwards, he held several different positions in semiconductor R&D and process development, semiconductor equipment development, and Product Management and Marketing. He has authored and co-authored well over 80 publications in peer-reviewed scientific and industry journals and proceedings and holds several patents. He graduated with a Master Degree in Physics from Katholieke Universiteit Leuven (KUL) in Leuven, Belgium, in 1986.

Fab Management Forum (FMF)
Edwards Ltd Edwards Ltd Pelissier, Christine
Successful Strategies to Attract Young Professionals
Pelissier, Christine

Pelissier, Christine
Business Line Manager EMEA
Edwards Ltd

Pelissier, Christine

Abstract
At Edwards we believe that the successful attraction of talented young professionals to our industry comes from listening and understanding what is important to young people and what they want to achieve in their careers. Our attraction strategy aims to connect with our audiences in more meaningful and emotional ways – we know young people want to learn and opportunities for career development are crucial, but they also want to make a difference, and sustainability has never been more important. Today’s young adults are having to deal with a range of issues which can impact the career choices they make. From the impact of COVID-19 and action on climate change, to challenging cultural attitudes, including those related to gender, racial, mental and physical health discrimination – we believe that businesses who are committed to improving people’s everyday lives, as well as protecting our planet offer the most appeal to young people. Our engagement with the next generation of young professionals focuses on the important issues that matter to them. At Edwards we look to do this through our:- targeted outreach and social media brand engagement and its real connection to protecting the environment- global career and development opportunities offered both in our organisation and the semiconductor industry- promotion of diverse role models representing the wide fabric of the Edwards community and the different careers available

Biography
Christine Pelissier is Business Line Manager EMEA at Edwards Vacuum. She has over 25 years’ experience successfully growing markets and customers in a high-tech environment and has broad international experience building networks in North America, Europe, and Asia. Prior to joining Edwards, Christine has held senior strategic marketing positions, business development, operations and applications roles with Applied Materials, KLA-Tencor and Soitec.

Diversity Forum
Edwards Vacuum Edwards Vacuum Samadi, Hassan
SEMI S30 - Safety Guideline for use of energetic materials in semiconductor R&D and manufacturing processes
Samadi, Hassan

Samadi, Hassan
Application Engineer
Edwards Vacuum

Samadi, Hassan

Abstract
Many processes used in manufacturing semiconductors require reactive chemistry; some of the process chemicals used are "energetic materials", that is, they are hazardously exothermic, pyrothoric, or water reactive. Using some process chemicals can produce byproducts that are energetic materials.Control mechanisms are in place to mitigate the risks of such materials.This Safety Guideline is intended as a minimum set of safety criteria for the procurement, storage, handling, and use of energetic materials in semiconductor R&D and manufacturing processes in all phases of use, from process chemical supply through abatement.This Safety Guideline is intended to be industry best practices as of its publication date.

Biography
Hassan Samadi is an Application Engineer at Edwards Vacuum. He has been with Edwards for 2 years. His main tasks are supporting semiconductor and solar manufacturing companies throughout the EMEA region. Before joining Edwards, Hassan spent almost 3 years as a process engineer with Centrotherm developing semiconductor processes. He holds a M.Sc in Physics from the University of Heidelberg.

Fab Management Forum (FMF)
Edwards Vacuum Edwards Vacuum Wilson, Kate
Insight from Kate Wilson on past year and the future
Wilson, Kate

Wilson, Kate
President, Semiconductor Division
Edwards Vacuum

Wilson, Kate

Abstract
As the newly appointed president of Edwards’ semiconductor division, Kate Wilson talks about the situation in the semiconductor production supply chain, the challenges of the past year and how Edwards drives innovation that directly leads to their customers’ success.

Biography
Kate Wilson has more than 25 years’ experience in the development and delivery of vacuum and abatement solutions for the global semiconductor industry.Kate joined Edwards in the UK in 1994 on the company’s graduate scheme, moving on to develop her career through a number of product management and business development roles. In 1998, Kate relocated to the US to take up the role of Applications Engineer, working closely with semiconductor OEM customers to understand and develop solutions for their vacuum and abatement requirements.From 2011, Kate played a key role in developing Edwards’ global Applications capability, with a focus on knowledge management and the conversion of customer and market information into product requirements. In the role of Global Applications Manager, Kate relocated to Korea for two years, during which time she gained excellent knowledge of Korean culture and was instrumental in helping Edwards build customer knowledge and relationships across the Asia region.Kate has held the role of VP Marketing Subfab Solutions for Edwards’ global Semiconductor business, based in the UK, since 2017, successfully supporting revenue growth through the delivery of market technology roadmaps, differentiated products, sales support and operations forecasting enabling market share growth.Since 2019, Kate has also served as Diversity Champion for the global vacuum and abatement business, and is a passionate ambassador for diversity and inclusion both within the organisation, and in the wider semiconductor and engineering sectors.Kate will take up the role of President of Edwards’ Semiconductor division in January 2021, based in Burgess Hill, UK.Kate is a dual British and US citizen, and holds a BEng in Mechanical Engineering from Brunel University in the UK.

Executive Forum
ENTEGRIS ENTEGRIS AMADE, Antoine
How to Support the Functional Safety Requirements of the Automotive Industry
AMADE, Antoine

AMADE, Antoine
Senior Director, EMEA/NA Entegris Automotive Program
ENTEGRIS

AMADE, Antoine

Abstract
About 90% of all innovations in cars have their origin in electronic systems, whose heart is semiconductor related. The rapid increase of semiconductors in cars enables significant safety, connectivity, and mobility improvements. If the future of transportation will rely on autonomous vehicles, the definition of electronics’ reliability and operating lifetime will significantly change. The move towards advanced nodes and integration of new materials is inescapable. But inspection tools available in the semiconductor industry today have limits to detect reliability defects and testing cannot compensate for this gap.Controlling contamination shall contribute significantly to eliminate the root cause of defects and thus enhance the functional safety of electronics systems in the car. Three areas of impact must be considered: the ambient air in the fab, the environment that surrounds the wafer during its lifetime, and the integrity of the materials across the clean chemical delivery pathway. As part of the New Collaborative Approach presented last year at the European SMART Transportation Forum, Entegris has organized Technology Days, Process Efficiency Enhancement Reviews and Benchmarks in interaction with the main representatives of the ecosystem. For this SMART Mobility Forum, a detailed analysis will be provided on the major technology nodes in play for the current and future generations of cars. What do we learn in terms of the maturity of our ecosystems? Is there any correlation with the main technology inflection points? What are the trends from a contamination and defectivity management? Where should semiconductor manufacturers focus their efforts? Entegris will share these insights during this session.The automotive industry is in a transformation phase, so let's collaborate now to better address the zero defects requirements.

Biography
Antoine AMADESenior Director, EMEA/NA Entegris Automotive ProgramMr. Amade joined Entegris in 1995 as an application engineer in its semiconductor business. Today, he is the EMEA/NA senior director focused primarily on growing the semiconductor business in North America, Europe and the Middle East through market strategies and the management of sales. For nearly 25 years, Mr. Amade has held leadership positions at Entegris in gas microcontamination market management, strategic account management, and regional sales management. Mr. Amade has a degree in Chemical Engineering from ENS Chimie Lille and is a member of the SEMI Electronic Materials Group, the Global Automotive Advisory Council for Europe (GAAC) and the Platform for Automotive Semiconductor Requirements Along the Supply Chain (PASRASC).

SMART Mobility Forum
ESPAT-Consulting - Steffen Kroehnert ESPAT-Consulting - Steffen Kroehnert Kroehnert, Steffen
Conference Chair, Session Chair
Kroehnert, Steffen

Kroehnert, Steffen
President and Founder
ESPAT-Consulting - Steffen Kroehnert

Kroehnert, Steffen

Abstract
Conference Chair, Session Chair

Biography
Steffen Kroehnert is President & Founder of ESPAT-Consulting based in Dresden, Germany. He is providing a wide range of consulting services around Semiconductor Packaging, Assembly, and Test, mainly for customers in Europe. Until June 2019, he worked for more than 20 years in different R&D, engineering, and management positions at large IDMs and OSATs in Germany and Portugal, namely Siemens Semiconductors, Infineon Technologies, Qimonda, NANIUM and Amkor Technology, where he most recently served as Senior Director Technology Development. Since 2016 Steffen has chaired the European SEMI integrated Packaging, Assembly, and Test - Technology Community (ESiPAT-TC). Steffen has authored or co-authored 23 patent filings and many technical papers in the field of Packaging Technology. He also co-edited the book “Advances in Embedded and Fan-Out Wafer Level Packaging Technologies”. He is an active member of several technical and conference committees of IEEE EPS, IMAPS, SEMI Europe, and SMTA. Steffen holds an M.Sc. in Electrical Engineering and Microsystems Technologies from the Technical University of Chemnitz, Germany.

Advanced Packaging Forum
European Commission European Commission Ceccarelli, Marco
Overview of EU policies supporting the growth of microelectronics in Europe
Ceccarelli, Marco

Ceccarelli, Marco
Programme Officer
European Commission

Ceccarelli, Marco

Abstract
The semiconductor sector is undergoing a number of economic, technological and geopolitical developments. At the same time, the European Union has been facing unprecedented circumstances, such as Brexit and the Covid pandemic, right after a new Commission and Parliament took office. The European Union responded with an historic agreement, Next Generation EU, to accelerate the recovery of regions and sectors hit by the pandemic, and to increase the resilience of the European economy. In times of change, and these are exceptional ones, new opportunities arise. Europe must exploit its outstanding competences to drive and reap the benefits of the digital transformation. The European Commission is working on a number of instruments, to support the growth of the EU semiconductor industry. The new Multiannual Financial Framework 2021-27 includes initiatives such as the Key Digital Technologies Joint Undertaking and the Digital Europe Programme. Furthermore, an Important Project of Common European Interest is being conceived, together with interested Member States, to support innovation in the microelectronics sector. Europe has the assets to become a technology leader, provided that all the actors of the ecosystem team up. The support from the European Commission needs to be combined with ambitious objectives, a suitable industrial strategy and proper execution, for which partners are needed. “Working together, we can achieve great things. To do so, we need you, start-ups, SMEs, big companies. If we join forces, the digital revolution can benefit everyone in Europe.” (Thierry Breton, Commissioner for Internal Market, Industry, Entrepreneurship and SMEs)

Biography
Marco Ceccarelli is currently Programme Officer at the European Commission in Brussels (B). He contributes to the definition of EU policies for the electronics industry in directorate "AI and digital industry" of DG CNECT. Prior to joining the Commission in 2017, he worked in different roles in the domain of digital innovation and high-tech business. He was Director of Business Operations for Dada, the mobile and internet services arm of RCS Media Group (I), and acted as Managing Director and International Business Manager for high-tech start-ups (UK and I). Previously, he headed Product Strategy and Marketing for Business Solutions at Philips Consumer Electronics, worked as Product Manager at Philips Digital Networks, and as Project Manager at Philips Research (NL). Marco graduated in Electronic Engineering at the University of Florence (I), conducted research at the Technical University of Delft (NL) and obtained an Executive MBA from the Essec Business School (F).

EU Digital Future Forum
EV Group EV Group Dielacher, Bernd
Novel Bonding Technologies for Photonic and MEMS Sensor Integration
Dielacher, Bernd

Dielacher, Bernd
Business Development Manager
EV Group

Dielacher, Bernd

Abstract
MEMS sensors are a key technology for many of today´s applications and must meet the highest standards of performance and reliability. Current devices on the market already have a high level of integration to fulfil these requirements, such as inertial measurement units. Emerging MEMS applications are diversifying in their capabilities and increasingly focus on integrating technologies from other disciplines, such as photonics, biomedical and nanotechnology. Innovative manufacturing technologies are thus developed to enable such integration schemes. In particular, high precision adhesive wafer-level bonding provides a reliable interface to facilitate the integration of different materials and technology platforms. Advances in pre-processing such as surface preparation, coating and adhesive patterning will be discussed including the preparation of ultrathin adhesive films and EVG´s adhesive layer transfer technology. Furthermore, wafer bonding capabilities will be presented and it will be shown how individual photonic chips can be transferred and integrated with a collective wafer-based process.

Biography
Dr. Bernd Dielacher is business development manager at EV Group where he is responsible for the MEMS as well as the bio- and medical technology market.Bernd holds a master’s degree in Microelectronics from Vienna University of Technology and received a PhD in Biomedical Engineering from ETH Zurich, where he explored metal nanostructures for electrical and plasmonic sensing in biomedical applications.

SMART MedTech Forum
MEMS & Imaging Sensors Forum
Evatec Evatec Tschirky, Maurus
Piezoelectrics and soft magnetics: Evatec thin film technologies for Advanced Functional Materials in MEMS
Tschirky, Maurus

Tschirky, Maurus
Senior Product Marketing Manager
Evatec

Tschirky, Maurus

Abstract
Piezoelectrics and soft magnetics: Evatec thin film technologies for Advanced Functional Materials in MEMS

Biography
Maurus is Senior Product Marketing Manager at Evatec responsible for the MEMS market segment . He holds a first degree as Engineer in Control Electronics from the University of Applied Sciences in Buchs, and a Masters in Business Engineering / International Marketing from the Hochschule für Wirtschaft und Technik in Zurich, Switzerland awarded in 2009. Prior to joining Evatec in 2016 he held a number of positions in the PVD-equipment industry and roles including application and system engineering, project and product management, and business development.

MEMS & Imaging Sensors Forum
EVG EVG Brandl, Elisabeth
High end power device manufacturing – substrate manufacturing and handling at the transition from Si to Compound Semiconductor materials
Brandl, Elisabeth

Brandl, Elisabeth
Business Development Manager
EVG

Brandl, Elisabeth

Abstract
Electronic vehicles, renewable energy and the overall efficiency of power supply are driving new developments within the power electronics industry. In particular more efficient power conversion and reduced switching losses for high voltage applications have to be addressed with novel solutions. In the last years a trend towards Silicon Carbide (SiC) and Galliumnitride (GaN) could be observed, but the transition to these materials must overcome technological and economical challenges to get a high implementation acceptance.Although quite different in the device architecture, SiC and GaN power device manufacturing can benefit from temporary wafer bonding. For SiC, temporary bonding offers mechanical support for thinning and backside processing of vertical power devices. For GaN, typically at the moment grown on Si and SiC, temporary bonding is utilized for mechanical support during substrate removal to allow a better heat distribution of the lateral devices.In this presentation we will review temporary and permanent bonding technologies enabling a better and more cost effective manufacturability of SiC and GaN power devices.Part of the work presented was obtained within the EU funded project REACTION under the coordination of ST.

Biography
Elisabeth Brandl received her master in technical physics from the Johannes Kepler University Linz, Austria in Semiconductor and Solid State Physics. Since 2014, she has been responsible for Product Marketing Management for temporary bonding and compound semiconductors at EVG.

Advanced Packaging Forum
SMART Mobility Forum
Exawatt Exawatt Price, Simon
SiC in the Automotive Supply Chain
Price, Simon

Price, Simon
CEO
Exawatt

Price, Simon

Abstract
Silicon carbide (SiC) has the potential to dominate EV power electronics manufacturing in the coming years, due to the numerous benefits it offers EV makers, including improved performance, reduced size and weight, and increased vehicle range. However, there is a widespread misonception that SiC is not yet ready for the mass market, due to concerns over the technology's maturity, its high cost relative to silicon and its suitability for mainstream vehicles. This presentation will examine the status and prospects of SiC in EVs and will discuss the developments that must - and will - happen to drive SiC market share.

Biography
Simon Price is CEO of Exawatt, a provider of strategic consulting, technology analysis and cost forecasting to manufacturers in the solar PV, EV, power electronics and lithium-ion battery industries. Exawatt's work is united by a common theme: decarbonisation via electrification. Prior to founding Exawatt in 2015, Simon 2010 was a member of the founding team of PV Tech Group, which provided factory design and integration services to solar PV companies. He has been active in PV since 2008, when he was part of the founding team of a startup technology company dedicated to improving the efficiency of crystalline solar cells. Previously, as a management consultant in the interactive entertainment industry, Simon provided services to a number of industry-leading manufacturers, including Microsoft, Sony, Intel and Nokia. Other clients included software publishers and financial institutions. Simon began his career as a business journalist, overseeing two of the interactive entertainment industry's leading publications. Simon has an MSc in Science Communication from Imperial College of Science, Technology and Medicine, University of London, and a BEng in Electrical and Electronic Engineering from the University of Newcastle upon Tyne, UK.

SMART Mobility Forum
F To top
Festo SE & Co. KG. Festo SE & Co. KG. Melzer, Frank
Efficiently managing your resources in production – I4.0 and AI are the keys to success
Melzer, Frank

Melzer, Frank
CTO
Festo SE & Co. KG.

Melzer, Frank

Abstract
coming soon

Biography
Dr. Frank Melzer is Member of the Management Board Product and Technology Management at Festo SE & Co. KG.As an innovative company, Festo has always distinguished itself on the market with excellent products and cutting-edge technology. Software, electronics and new materials are the new drivers of innovation in an ever faster world, enabling many new product and service ideas. His aim is to inspire customers with agility and innovative strength and to open up new growth markets for Festo.After Dr. Melzer completed his mechanical engineering studies receiving his doctorate at the University of Stuttgart. He also holds a MBA from the University of Toronto.Dr. Melzer began his professional career at Robert Bosch GmbH, where he held various positions from 1994 to 2004.From 2004 to 2012 he was CEO of Bosch Sensortec GmbH and from 2012 to 2015 Senior Vice President Driver Assistance Robert Bosch GmbH.From 2015 to 2017 he was President Autoliv Electronics in Munich and Stockholm.Since 2017 he is CTO of Festo SE & Co. KG in Esslingen, is a member of the ZVEI Executive Board and, since the end of 2018, chairman of the steering committee of Platform Industry 4.0.”

Fab Management Forum (FMF)
Fluxergy Fluxergy Tinazli, Ali
How the COVID-19 Exposes the Flaws of Current HealthTesting - Hypermobility & Microfluidics for Health 4.0 Tools for new Medicine
Tinazli, Ali

Tinazli, Ali
Chief Commercial Officer
Fluxergy

Tinazli, Ali

Abstract
The rapid spread of COVID-19, which has the potential to become a once-in-a-century pandemic, has demonstrated that current diagnostic testing methodology is too slow and too inaccessible to address today’s dramatically changing healthcare challenges. New technologies are needed immediately that provide fast, accurate, and low-cost tests directly at the Point of Care.

Biography
Prior to his role at Fluxergy as Chief Commercial Officer, Dr. Ali Tinazli has been leading the corporate-wide, global strategy for Healthcare and Life Sciences for Hewlett-Packard (HP Inc.). He currently serves as Board Member and Angel Investor at various start-up companies ranging from cyber security and digital health to oncology – one of the start-ups (Edico Genome) got recently acquired by Illumina. Dr. Ali Tinazli has a deep background in the science and business of biomedicine and healthcare. Ali has done extensive work in the field of molecular biology of aging and nanobiotechnology and has authored about 20 publications. He received his Ph.D., excellent with highest honors, in BioChemistry from J.W. Goethe University in Germany, and also studied business at UC, Berkeley’s Haas School of Business and MIT’s Sloan School of Management. After receiving his Ph.D., Ali was in Corporate Development at Applied Biosystems (now: Thermo Fisher) where he conducted technology scouting and in-licensing. At Sony DADC (part of SONY Corporation and now Stratec), Dr. Tinazli was key in building the biomedical consumables business. As a member of the management team at Sony DADC BioSciences, he has headed as VP & Head of Business Development the Americas business based out of Cambridge, MA. In addition to his bioscience and industry domain experience, Ali has strong entrepreneurial experience and hands-on knowledge of the biosciences start-up community.

SMART MedTech Forum
Fraunhofer EMFT Fraunhofer EMFT Yacoub-George, Erwin
Development of a Foil based Flexible Interposer for Power Conditioning IC in Energy Autarkic Systems
Yacoub-George, Erwin

Yacoub-George, Erwin
Scientist
Fraunhofer EMFT

Yacoub-George, Erwin

Abstract
The EU ECSEL project EnSO aims the development of autonomous micro energy sources (AMES) for innovative electronic devices that target key applications such as smart health, smart mobility and smart society. An AMES provides energy for sensors, data processing and data transmission and consists of micro storage element, energy harvester, smart charger and power conditioning IC. Smart integration of these building blocks to fabricate an AMES with an appropriate form factor was a key objective in the EnSO project.Although in printed electronics, the PCB board is ususally fabricated with an innovative technology it is often still assembled with bulky and rigid SMD components. In such a case, some of the primary advantages such as conformability and flexibility that are commonly attributed to printed electronics are lost. In order to overcome this limitation, we developed a new package type called “flexible interposer”. It consists of a Cu wiring film fabricated in roll to roll, a thinned IC and a flexible polymeric mould cover. The interposer is designed with a QFN format and is characterized by a reduced thickness and some mechanical flexibility.The flexible interposer approach was developed and characterized for a daisychain chip and a commercial power conditioning IC of the latest generation from STMicroelectronics. The fabrication process was established with the daisychain chip to facilitate electrical characterization and reliability testing and was then adapted for the STBC15 IC. 30 interposer samples have been prepared and characterized. The obtained process yield indicates a robust fabrication process. Since all process steps are compatible with roll to roll production, we expect a high potential for up-scaling that offers the chance to close the gap between research and market.The research results were obtained in the scope of EnSO project that has received funding from 1) EU under Grant Agreement no. 692482 and 2) BMBF with National Grant no. 16ESE0088.

Biography
Erwin Yacoub-George received his Ph. D in Chemistry (1994) at Technical University of Munich where he developed a production process for polysiloxane beads. Since 1994 he worked for the Fraunhofer Society in Munich. He started his research works on the development of optical biosensor systems. In 2002 he joined the flexible electronics team and developed self-assembly processes for thinned ICs as well as heterogeneous integration techniques for printed and large area electronics. He is currently working as a project manager on European and National research projects with a focus on thin chip integration in flexible foil substrates.

Advanced Packaging Forum
Fraunhofer EMFT Fraunhofer EMFT Grünerbel, Lorenz
Early Diagnosis and Prevention of Pressure Induced Wounds (Ulcer) at Vulnerable Patients
Grünerbel, Lorenz

Grünerbel, Lorenz
System Engineer
Fraunhofer EMFT

Grünerbel, Lorenz

Abstract
Pressure induced wounds (Ulcer) lead to high stress and pain for all sufferers. There are two main types of ulcer, which are decubitus with more than 400.000 cases annually [2] and the diabetic foot syndrome resulting in around 40.000 feet amputations every year only in Germany [1]. The therapy is very long lasting, painful for patients and expensive for the health care system. However, according to many experts most of these wounds could be avoided by proper prophylaxis [1]. One part of a promising prophylaxis system is the accurate observation of especially endangered body positions. Therefore, we develop a sensor system that is tracking the pressure load on those endangered positions. In combination with other body parameters such as blood oxygen saturation, heart rate and skin temperature, we use modern machine learning algorithms (AI) to determine parameters that allow forecasting the probability of wound formation. The findings will lead to a smart and handy forecasting system that warns patients if the wound probability increases significantly.

Biography
Lorenz Gruenerbel, M.Sc. (m) successfully graduated at the Technical University of Munich. His topmost degree is a Master in Electrical Engineering and Information Technology. Additionally, he received a Bachelor in Management and Technology at the Technical University of Munich. During his Master’s he already focused on subjects of medical technology at Fraunhofer EMFT. Since March 2018, he is working there within the field of system development focusing on medical applications. As a Ph.D. student, he is doing research on modelling and high flow optimization of Fraunhofer EMFT micro pumps.

SMART MedTech Forum
Fraunhofer ENAS Fraunhofer ENAS Gadhiya, Ghanshyam
Virtual Prototyping for System-in-Package with Heterogeneous Integration - Enabler for faster Time-to-Market
Gadhiya, Ghanshyam

Gadhiya, Ghanshyam
Research Associate
Fraunhofer ENAS

Gadhiya, Ghanshyam

Abstract
Heterogeneous Integration in System-in-Package (SiP) based on Fan-Out Wafer Level Technologies allows to meet various requirements such as improved performance, smaller form-factor, functional safety and low cost for upcoming new applications. Due to the thermo-mechanical stresses leading to device failure, the reliability risks must be assessed during the development of new products aiming for a design optimized for reliability. Virtual Prototyping (VP) based on Finite Element (FE) simulation allows the analysis of the thermo-mechanical situation during fabrication, tests and service within short time, allowing shorter development time. However, it requires parametric FE models, precise material and experimental data for validation. Because of this initial investment, it is advised to develop the VP schemes in a way that they are able to cover a wide variety of future products.The talk will present a modular system of parametric FE models that enables virtual reliability assessments of various SiP products based on Fan-Out Technologies such as WLSiP, eWLB-PoP, RCP, InFO, FOPLP, WFOP, SiWLP and SWIFT-PoP [1][2]. By combination of common packaging components like die, mold, redistribution layers, solder balls, vias, integrated passives, and boards from the library of pre-calibrated parametric FE models in ANSYS, digital twins of a large number of individual package configurations can readily be generated, e.g. 2D, 2.5D and 3D/PoP. The talk highlights the flexibility of the modular system of parametric FE models by four very different industrial packages: Radar sensor, Silicon photomultiplier, Automotive inertial sensor and Camera module. The VP scheme for a new pad design of a multi-chip SiP sensor is demonstrated in detail to show the great support that virtual optimization and qualification schemes can provide. They can reduce Time-to-Market of new SiP products by 50%-75%.References[1] https://doi.org/10.1109/ESTC.2018.8546352[2] https://doi.org/10.1115/1.4043341

Biography
Ghanshyam Gadhiya received his M.Sc. degree in Micro and Nano Systems, with a specialization in Finite element analysis of power module from Technical university of Chemnitz in 2013. Since 2014, he is working as a scientific researcher at the Micro materials center, Fraunhofer ENAS. His main research focus includes parametric finite element modelling, thermo-mechanical simulation and optimization of microelectronics packages using FE-program ANSYS. He has been also involved with several industrial projects for residual stress, humidity and vibrational analysis. His current research interests include fan-out wafer level packaging technology, system-in-package, virtual prototyping and micro-electronics failure analysis.

Advanced Packaging Forum
Fraunhofer Institute for Photonic Microsystems (IPMS) Fraunhofer Institute for Photonic Microsystems (IPMS) Kaiser, Bert
All-silicon ultrasonic recognition of the environment
Kaiser, Bert

Kaiser, Bert
Group Leader Reasearch and Development
Fraunhofer Institute for Photonic Microsystems (IPMS)

Kaiser, Bert

Abstract
Human Machine Interface technology is rocketing in importance since ubiquitous technology is more and more trending in terms of a demand for decoupling of full consciousness from user experience. This seems true for a broader understanding of human machine interaction. A prominent example is among co-working places, where humans and robots closely interact. This symbiosis is paving the way for industry 4.0 in a broad range of industrial tasks. Other applications require thorough knowledge and even forecasting of machine status enabling predictive maintenance. MEMS based ultrasonic transducers enable detection and ranging systems that can be produced at a low unit price for high volumes. Assuming that, they may become just as available as it went to happen with inertial sensors in the past. Fraunhofer IPMS has developed the NEDMUT technology as an ultrasonic transducer for industrial applications bringing together benefits of the MEMS worldwith industry digitalization needs. IPMS NEDMUT technology comprises a volume utilizing low footprint approach, ultra-low power electrostatic actuation, lead-free all silicon device, phased array, multichannel as well as combined emitter-receiver on chip capabilities.

Biography
Bert Kaiser received the M.Sc. degree from Technical University Chemnitz, Germany, in 2013 and the Ph.D. degree from Brandenburg Technical University Cottbus-Senftenberg, Germany, in 2016. His Ph.D. research was about electrostatic bending actuators, NED, in microelectromechanical systems. His main research interests include electrostatic bulk MEMS systems design, simulation, fabrication and characterization for acoustic and ultrasonic applications. His main work focus is on commercialization of the NED technology in various applications ranging from audio devices to valves for microfluidics. Since 2013 he has been working within the department of monolithically integrated actuator and sensor systems in the Fraunhofer Institute of Photonic Microsystems, Dresden, Germany. There he heads the acoustic transducers group. Main project is the all-silicon speaker and ultrasonic device technology utilizing the chips volume for sound generation. This technology is commercialized for the audio field of application in close collaboration with the spin-off Arioso Systems GmbH.

MEMS & Imaging Sensors Forum
G To top
GLOBALFOUNDRIES GLOBALFOUNDRIES Kuechenmeister, Frank
Biography
Kuechenmeister, Frank

Kuechenmeister, Frank
PMTS
GLOBALFOUNDRIES

Kuechenmeister, Frank

Abstract
N/A N/A

Biography
Dr. Frank Kuechenmeister received a Master degree in Polymer Chemistry and a doctorate in Chemistry from the University of Technology in Dresden, Germany. He held post-doctoral appointments at the Departments of Polymer Science at the ETH Zuerich, Switzerland, the University of Massachusetts in Amherst, USA and the Department of Electrical Engineering and Micro Systems at the University of Technology in Dresden, Germany. He joined AMD in 1999, which converted to become GLOBALFOUNDRIES in 2008 as process engineer working the area of C4 bumping. He was promoted to principal member of technical staff in 2016. He currently leads the chip-packaging interaction team and coordinates all related efforts throughout all technology nodes at GLOBALFOUNDRIES.

Advanced Packaging Forum
Google Corporation Google Corporation Dorn, Rüdiger
Smarter tools for smarter fabs - taking automation to the next level
Dorn, Rüdiger

Dorn, Rüdiger
Industry Lead Hightech & Semiconductors
Google Corporation

Dorn, Rüdiger

Abstract
For years Semiconductor Manufacturers have been investing in automation and information technology. Typically, many industry 4.0 pilots and POC installations can be foud - often with unclear ROI.The keynote will focus on new technologies like industrialized AI / ML to dramatically the cost and resource requirements of algorithm development, management and enterprise wide deployment. It will present and discuss the requirements for secure data collection and ingestion as well as outline the trends to support and automate AI model generation / deployment. These new technologies are critical to scale AI / ML across fabs, re-deploy scarce resources and ultimately achieve cost savings in the manufacturing process.Co-presented with Jörg Recklies, Senior VP, Infineon Technologies

Biography
Ruediger Dorn is responsible for driving the Google business across customers in the High Tech and Semiconductor industry. In his work he specializes on how leading digital technologies can solve real business problems with a specific focus on innovation and operational excellence.Prior to Google Ruediger has worked in several international leadership roles for leading US IT companies as well as consulting firms.

Executive Forum
Fab Management Forum (FMF)
H To top
Henkel Belgium NV Henkel Belgium NV de Wit, Ruud
Enabling Assembly and Packaging Material Developments for Next Gen RF Devices, Antennas and Radars
de Wit, Ruud

de Wit, Ruud
Business Development Manager EMEA
Henkel Belgium NV

de Wit, Ruud

Abstract
Smart Electronics’ market trends like 5G Telecom and Autonomous Driving are leading advanced semiconductor packaging innovations towards higher functionality, enhanced connectivity at higher frequencies, RF signal interference isolation (shielding), smaller form factors (miniaturization) and reduced power consumption. To meet these demands, semiconductor package designs continue to evolve towards MULTIPLE DIE using System-in-Package and Wafer Level architectures. Especially for next generation RF devices, antennas and radars, the thermo-mechanical, thermal resistance and (di)electric properties of the assembly and packaging materials play a key role as well as fast and low temperature processing/curing. This presentation will give an overview of the challenges and solutions from a semiconductor packaging material perspective based on recent customer experiences and ongoing developments to enable new designs. The focus will be on thermal performance of different die and lid attach assembly methods and thermal interface materials, EMI shielding effectiveness of thin silver layers, dielectric constant and loss factors of liquid wafer level encapsulants and underfills at 28-50 GHz and above, etc.

Biography
Ruud de Wit is responsible for managing Henkel's Semiconductor, Sensor & Consumer Electronics Assembly Materials business development within EMEA region. Ruud has a BSc degree in Mechanical Engineering followed by several polymer, sales and marketing courses. Ruud is working for Henkel since 1990 in multiple positions including technical customer support, quality assurance and engineering, and global semiconductor account and product management.

Advanced Packaging Forum
Huawei Technolgies R&D Belgium NV Huawei Technolgies R&D Belgium NV Liu, Changze
Coming soon
Liu, Changze

Liu, Changze
CEO
Huawei Technolgies R&D Belgium NV

Liu, Changze

Abstract
Coming soon

Biography
Coming soon

Executive Forum
I To top
IBM Research Khare, Mukesh
What's Next in AI: Our Vision for the Future of AI Hardware
Khare, Mukesh

Khare, Mukesh
Vice President
IBM Research

Abstract
We have reached a turning point in computation. As we grapple with major challenges like a global pandemic and a warming climate, the next generation of computers will define how we respond to these and future crises. Learn how the AI Hardware Center is part of the development of a new, scalable computing platform that uses the power of AI and the flexibility of the hybrid cloud to create a virtually limitless pool of computing power and capabilities.

Biography
Dr. Mukesh V. Khare is Vice President at IBM Research, driving IBM’s Hybrid Cloud research agenda. In his current role, he and his team of more than 1000 researchers worldwide are re-defining the future of computing for the next generation workloads such as AI, Machine Learning, High-Performance Computing and their delivery through Hybrid Cloud. Throughout his career, Dr. Khare helped build and drive collaborative research alliances in the semiconductor industry to push the state of the art and most recently championed the formation of the AI Hardware Center to drive innovations in AI technologies through collaboration. Dr. Khare has led research, development and implementation of several innovative technologies such as high-k metal gate and FinFET with significant value to IBM and research alliance partners.Dr. Khare is a recipient of the IBM Corporate Award and Outstanding Technical Achievement Award for his accomplishments and is an IBM Distinguished Engineer. He serves on of the Board of Directors for the Semiconductor Research Corporation (SRC) and is also an active board member of several research focused entities. Dr. Khare served as the General Chair of the 2018 Symposia on VLSI Technology, has co-authored more than 100 research papers and holds several U.S. and international patents.Dr. Khare began his career at IBM in 1998 after finishing his M.S, M. Phil. and Ph.D. degrees from Yale University. A proud father of two and the husband of an architect, Dr. Khare is a strong advocate for diversity and equal opportunity in the workplace through sponsoring initiatives such as PowerUp for women engineers.

Executive Forum
ICFO – The Institute of Photonic Sciences ICFO – The Institute of Photonic Sciences Goossens, Stijn
Enabling a World of Enhanced Vision
Goossens, Stijn

Goossens, Stijn
Co-founder and CTO
ICFO – The Institute of Photonic Sciences

Goossens, Stijn

Abstract
Qurv develops wide spectrum image sensors that capture previously hidden information. Either information that was hidden by ambient light interference or information that is not available in the visible light spectrum. More information allows improved decision making for machines.The human eye only sees red, green and blue light. Ubiquitously available CMOS image sensors see visible and near infrared light up to 1um. Qurv image sensors based on nanomaterials are sensitive to visible, near infrared and short wave infrared light: from 300 nm up to 2 um and in the near future beyond 2 um. Qurv's image sensor technology targets bringing enhanced computer vision applications to everyday life. The technology has a high manufacturability potential and can thus reach low cost products. Key to achieve high manufacturability is Qurv's waferscale back-end-of-line (BEOL) process. A proof of concept wide spectrum image sensor shows the validity of this BEOL process.Qurv’s wide spectrum image sensor BEOL process is CMOS technology agnostic. Furthermore, all Qurv image sensors will be designed for AI.

Biography
Stijn Goossens (CTO and co-founder of Qurv Technologies S.L.) is a pioneer in graphene quantum dot image sensors and inventor of multiple patents in Qurv’s portfolio. He has led multi-million development programs on image sensor related technologies. He obtained his PhD from Delft University of Technology (Netherlands) and continued his career as a program manager at ICFO (Barcelona, Spain) before starting Qurv.

MEMS & Imaging Sensors Forum
IMEC IMEC Miller, Andy
My Bio
Miller, Andy

Miller, Andy
Department Director
IMEC

Miller, Andy

Abstract
See bio

Biography
Andy Miller graduated from the University of Glasgow in 1995 with a Honours degree in Physics. He immediately started work as a process engineer at NEC Semiconductors (UK) Ltd in lithography. In 2000 he moved to Filtronic Compound Semiconductors Limited as lead lithography engineer. In 2008 he joined the Advanced Lithography program at IMEC, focused on alternative materials for Double Patterning.In 2009 he became the Team Leader for More Than Moore lithography development at imec, quickly expanding the team to include wafer level bonding, die bonding and metrology. In 2012 he took up the position of Group Leader for technology development within the 3D Integration program. He is currently the Department Director for 3D and Si Photonics technology development at imec.

Advanced Packaging Forum
INFICON INFICON Behnke, John
Evolution of Smart Manufacturing - Integrated and Collaborative Smart Systems
Behnke, John

Behnke, John
General Manager Final Phase Systems
INFICON

Behnke, John

Abstract
The semiconductor industry has been on the forefront of developing advanced technologies used tofuel innovation and accelerate technology development since its inception. Its understanding andaccess to advanced technologies coupled with its need to continuously improve manufacturingefficiency and customer satisfaction has pushed the industry to develop and adopt Semi specificSmart Manufacturing/Industry 4.0 methodologies. Ironically the Semi Industry’s development ofpowerful compute capabilities and low cost memory is the leading enabler of these Smart/I4.0solutions.These Smart/I4.0 methodologies are heavily integrated solutions which enhance existing systemsand capabilities. Data from these multiple systems, such as MES, yield, metrology, fault detection,process control, maintenance, and demand integrate to create a learning real time digitalrepresentation of the factory. This Digital Twin or Cyber Physical System is an intelligent learninginformation hub that when properly designed and deployed supports a broad range of sophisticatednew Smart/I4.0 applications. The most common first deployed Smart/I4.0 application is advancedWIP scheduling as it generates the largest ROI and most immediate impact upon a fabsperformance. There are many other Smart/I4.0 applications available or under development whichwhen integrated with a fab’s Digital Twin address other needs or improve the fidelity of theexisting Smart/I4.0 applications. Some of these are evolutionary like automation/robot additions,improved labor allocation, better starts planning, improved PM planning, etc. but others arerevolutionary like AI analysis of Big Data to find subtle causalities, comprehensive in-line productrisk management, integrated AI/ML enabled FDC solutions, augmented reality based PMprocedures, integration of process support tools (think pumps, abatement and more) into the fabDigital Twin to optimize their use and performance as an integrated part of the fab which is criticalto the realization of greener factories.An overview of this evolution of Smart Manufacturing solutions and how they are integrated toprovide their capabilities will be presented in this talk.

Biography
Mr. Behnke has 35 years of semiconductor industry experience including: logic and memory manufacturing, technology/product development and fab operational excellence. As the GM of Final Phase Systems an INFICON Product Line, John leads a team that develop and deploy SMART software solutions that enable fabs to improve their manufacturing efficiency. FPS’s suite of software solutions are built upon a common Datawarehouse which enables advanced Fab Scheduling and optimized WIP movement as well as other related capabilities. He is also a Co-Chair of the Semi North America Smart Manufacturing Special Interest Group. Prior to FPS John served as the CEO and President of Novati Technologies, the SVP and GM of the Semiconductor Group of Intermolecular, the CVP for Front End Manufacturing, Process R&D and Technology Transfers at Spansion and the Director of AMD’s Fab 25’s Engineering and Operations groups where he was a founding member of AMD’s Automated Precision Manufacturing (APM) initiative which led the Semiconductor industry’s development and use of APC and other advanced factory systems. He also led the successful conversion of Fab 25 from Logic to Flash memory which was enabled through the virtual automation of the fab.Mr. Behnke earned a B.S. degree in Mechanical Engineering with an Industrial Engineering Minor from Marquette University. Mr. Behnke holds five U.S. patents.

Fab Management Forum (FMF)
Infineon Technologies Infineon Technologies von Schierstaedt, Philipp
Sensors to Make the World Greener, Easier and Safer
von Schierstaedt, Philipp

von Schierstaedt, Philipp
Vice President & General Manager of Radio Frequency & Sensors
Infineon Technologies

von Schierstaedt, Philipp

Abstract
At Infineon, we are committed to making the world safer, smarter and greener with our innovative and leading sensor portfolio.Already today, many IoT trends such as smart devices and wearables, electromobility and connected cars, smart factories and homes, are being driven by our technologies, products and systems based on our XENSIV™ sensors families.We have the vision to further “sensorize” these IoT devices and provide them with more intelligence with our latest innovations in order to make your life safer, smarte and last but not least greener.In this talk, different use cases and sensors products and solutions are presented to show the benefits and the positive impact.

Biography
Philipp von SchierstädtVice President & General ManagerBusiness Line Radio Frequency & Sensors (RFS)Infineon Technologies AG85579 NeubibergPressekontaktmedia.relations@infineon.comTel.: +49 89 234 - 23 888Philipp von Schierstädt has been Business Line Head RFS and Extended Board Member of the Power & Sensor Systems Division at Infineon Technologies AG since 2011. Philipp von Schierstädt was born on 19th May 1970 in Munich. Hehas studied mechanical engineering and economics (Dipl. Ing. Technical University Berlin), holds a Master in Economics (University of St. Andrews) and has written his engineering diploma thesis at the Massachusetts Institute of Technology (MIT USA).He joined Infineon Technologies AG in 2001.

MEMS & Imaging Sensors Forum
Infineon Technologies Infineon Technologies Kopetz, Andreas
XENSIV™ PAS CO2 Sensor: New Environmental Sensor Technology: Photoacoustic Spectroscopy (PAS) Miniaturizes CO2 Sensor for High-volume Applications
Kopetz, Andreas

Kopetz, Andreas
Director Environmental Sensing
Infineon Technologies

Kopetz, Andreas

Abstract
City dwellers often spend a large amount of their time indoors – whether it be in an office, at school or simply at home. Buildings, however, tend to trap air especially as the level of insulation increases for energy efficiency purposes. This could lead to the development of bad indoor air quality in case of poor ventilation, negatively impacting human comfort, productivity and health. The concentration of carbon dioxide (CO2) is a good indicator of indoor air quality.Today´s market solutions for monitoring this odorless and colorless gas are bulky and costly or simply not good enough for widespread adoption. Leveraging its advanced MEMS microphone technology, Infineon Technologies has developed a disruptive CO2 sensor based on photoacoustic spectroscopy (PAS). XENSIV™ PAS CO2 sensor is an exceptionally miniaturized sensor designed to accommodate high-volume manufacturing; it is the first real CO2 sensor with SMD capabilities. It also includes an on-board microcontroller for easy system integration in customer products.The innovation will enable widespread adoption of air quality monitoring in high volume applications in variety of markets such as automotive, industrial, medical and IoT.

Biography
Andreas Kopetz received his Master of Computer Science from Vienna University of Technology in 2004 and a Master of Engineering Management from Duke University, in 2005. Andreas started to work for Infineon in 2005 in the Operations & Supply Chain group covering several positions in USA, Austria and Germany. In 2010 Andreas joined Infineon’s Automotive division as product marketing manager for Electric Drivetrain power modules for hybrid and electric vehicles. In 2013 he transferred to the RF & Sensors business line within Infineon’s Power & Sensor Systems division. He has been substantially growing Infineon’s MEMS Microphone & pressure sensor business since then, recently as Director Marketing heading the product marketing and application engineering teams. Since March 2019 he is in charge of the accelerator program for environmental sensing.

MEMS & Imaging Sensors Forum
Infineon Technologies Infineon Technologies Boebel, Magdalena
Connected sensors to make buildings and homes greener and safer
Boebel, Magdalena

Boebel, Magdalena
Head of Marketing for Sensor Solutions
Infineon Technologies

Boebel, Magdalena

Abstract
How simple and low power connected sensors could enable energy savings in buildings and guaranty safer environment for its occupants. We will look particularly at MEMS microphones, pressure sensors, gas sensors and radar sensors.

Biography
Master of Science in Chemistry Within Infineon since 2014Current role: Head of Marketing for Sensor Solutions

MEMS & Imaging Sensors Forum
Infineon Technologies Infineon Technologies Meyer, Thorsten
Challenges for Heterogeneous Integration in Package – Applications Driving Materials and Processes towards Diversity
Meyer, Thorsten

Meyer, Thorsten
Lead Principal Engineer
Infineon Technologies

Meyer, Thorsten

Abstract
ABSTRACT Challenges for Heterogeneous Integration in Package –Applications driving Materials and Processes towards Diversity by Thorsten Meyer and Klaus PresselInfineon Technologies AG, Regensburg Heterogeneous Integration is a major technology driving force for microelectronic systems. More-than-Moore (MtM), System-in-Package (SiP), as well as 3D high-density integration technologies are a prerequisite for enabling the design of compact microelectronic devices. Heterogeneous Integration refers to the integration of separately manufactured components into a higher level of assembly, which is providing enhanced functionality and operating characteristics. In this definition, components should be taken to mean any unit, whether individual die, MEMS or sensor device, passive component and assembled package or sub-system, that are integrated into a single package (see e.g. Heterogeneous Integration Roadmap published for the first time October 2019). The requirements for integration of the mentioned components are differing strongly depending on application. Integration of power devices requires thick copper with large area connections for thermal properties and current carrying capabilities, e.g. a solution for vertical current flow. For mm-wave applications, precise knowledge of material parameters and dimensions is required to fabricate leading edge devices like radar or LIDAR for future autonomous driving. Logic integration typically requests for many short interconnects, fine line spaces and tight pad pitches in a horizontal arrangement of the contacts. MEMS and sensor devices often require a special protection and are sensitive in handling during production. Packaging often is customized to the application. In addition, the integration of passives, e.g. resistors, inductors, capacitors, as well as shielding capabilities or antennas require special packaging building blocks for an application tailored integration. All these different constraints lead to an extreme diversity of package solutions very difficult to tackle.In this presentation, we will discuss the challenges and introduce potential solutions for different integrated applications. We will highlight the importance of virtual prototyping, chip-package-board/system co-design as well as reliability prediction, which require detailed understanding of material properties and their interfaces. Especially, we will emphasize the increasing importance of knowledge on material physics. For example, investing into physics of advanced failure analysis is a major enabler for faster and more reliable development of innovative devices. We will show examples of building blocks for different areas of integration, which we must develop for the supply of integrated packages for future applications. Heterogeneous integration combined with miniaturization capability, i.e. more functionality in smaller volume, will drive us in future microelectronics.

Biography
Thorsten Meyer is Lead Principal Engineer Package Concept Engineering at Infineon Technologies in Regensburg, Germany, responsible for New Package concepts. Until March 2015 he was leading the Package Technology and Innovation department at Intel Mobile Communications (IMC) in Regensburg. Prior joining IMC, he was overall project leader for the development of Wafer Level Packaging Technologies at Infineon in Regensburg and earlier in Dresden.Thorsten is author of multiple publications and holds more than 150 patents and patent applications in the area of advanced packaging.

Advanced Packaging Forum
Infineon Technologies AG Infineon Technologies AG Konstantinov, Marcel
Infineon Technologies to empower next-gen medical wearables
Konstantinov, Marcel

Konstantinov, Marcel
Manager Emerging Applications
Infineon Technologies AG

Konstantinov, Marcel

Abstract
Wearable technologies are becoming ubiquitous in the consumer and medical space. Infineon technologies is a leader in semiconductor technologies making today’s wearables easier and safer. The talk will cover general trends in the wearable industry and provide an overview about the architecture of medical wearables. This will be followed by a deep-dive on technologies powered by Infineon that enable next-generation medical wearables to become even better in the future.

Biography
Marcel Konstantinov is Technical Marketing Manager for Wearables within the Power & Sensor Systems division of Infineon and is located in Munich. He holds a Bachelor and Master's Degree in industrial engineering from the Karlsruhe Institute of Technology with focus on information technology, renewable energy and entrepreneurship. Marcel has studied, worked and lived in Germany, Chile, Norway and the UK.

SMART MedTech Forum
Infinitesima Infinitesima Jenkins, Peter
Rapid Probe Microscope: Enabling Tomorrow's Semicoconductors by Delivering True 3D Nanoscale Information
Jenkins, Peter

Jenkins, Peter
President and CEO
Infinitesima

Jenkins, Peter

Abstract
Rapid Probe Microscope: Enabling Tomorrow's Semicoconductors by Delivering True 3D Nanoscale Information

Biography
Peter joined Infinitesima in May 2019 as President & CEO. Based near Oxford,Infinitesima is a privately owned company founded in 2001 as a spin-out of theUniversity of Bristol. The company has developed a revolutionary atomic precision3D metrology technology which has been qualified by leading companies in thesemiconductor industry.Prior to joining Infinitesima Peter was Vice President of Marketing and Strategy atASML where he had worked for over 28 years in various management positions inThe Netherlands, Korea, and Hong Kong. Before ASML Peter worked in the UK forLSI Logic as a process engineering manager, and at Plessey Research as aprocess engineer.Peter studied Economics at Bath University

Executive Forum
J To top
JSR JSR Hasegawa, Koichi
Next Lithographic Materials for Advanced Packaging
Hasegawa, Koichi

Hasegawa, Koichi
General Manager Device Integration Materials Laboratory
JSR

Hasegawa, Koichi

Abstract
The evolution of semiconductor technology has been one of the essential elements to enrich global economy and quality of human being’s life. During the past half century, the evolution has been mainly supported by scaling in advanced lithographic technology. However, the velocity of simple scaling relying only on achieving ultrafine IC circuit with lithographic technology is gradually slowing down, so the role of back-end process development including packaging and assembly becomes highlighted and accelerated. For electronic material suppliers, strong needs of highly integrated electronic packaging structures for high performance computing (HPC) or lower signal loss packaging structure for high frequency signal propagation technology in 5G technology started around the year of 2020 have been a great opportunity to brush-up their competitive materials. In such a promising situation, JSR is accelerating the development of our lithographic materials for advanced packaging. In this talk, direction of our material development in plating photoresists and photo-imageable dielectrics is described.

Biography
Koichi Hasegawa received Ph.D. degree in engineering from Osaka Prefecture University in 1999. His major at the university was inorganic materials science, especially focusing on sol-gel related materials. He has been working at Advanced Electronic Materials Laboratory in JSR Corporation Japan, and experienced various kinds of advanced electronic materials development like inorganic low-k dielectrics, plating photoresists, photo-sensitive dielectrics, temporary adhesives, LED related materials, CMP consumables, etc. During his carrier, he experienced a visiting scientist at University of Illinois at Urbana-Champaign (UIUC) for 2 years, for the research of self-alignment of inorganic spherical particles. From 2015, he has been assigned as a general manager of Device Integration Materials Laboratory in JSR Corporation. His current interests are in novel advanced packaging materials like photoresists for high density wiring and dielectrics for high frequency applications.

Advanced Packaging Forum
JSR Corporation JSR Corporation Suhara, Tadahiro
JSR materials innovation with digital transformation
Suhara, Tadahiro

Suhara, Tadahiro
Managing Officer, Digital Solution Business
JSR Corporation

Suhara, Tadahiro

Abstract
coming soon

Biography
Tadahiro “Tad” SUHARAManaging Officer, Digital Solution BusinessJSR CorporationBRIEF HISTORYDate of Birhth:February 13, 1961 (Kyoto, Japan)EDUCATIONBachelor in Economics, Doshisha University, Kyoto, Japan (1983)PROFESSIONAL RESPONSIBILITIESTadahiro “Tad” SUHARA is Managing Officer of JSR Corporation and in charge of Digital Solutions Business. Prior to joining JSR Corporation in 2019, Tad was President of SCREEN Semiconductor Solutions Co., Ltd., where he has been instrumental in the development of the semiconductor industry for almost over 40 years. Especially, Tad engaged as a “SEMI International Board Member” until leaving SCREEN Semiconductor Solutions Co., Ltd.PROFESSIONAL EXPERIENCE1983 Joined Dainippon Screen Mfg. Co., Ltd. (Current SCREEN Semiconductor Solutions Co., Ltd.)2009 Representative Director, President & CEO of SOKUDO Co., Ltd.2010 President, Semiconductor Equipment Company of Dainippon Screen Mfg. Co., Ltd2012 Senior Corporate Executive Officer of Dainippon Screen Mfg. Co., Ltd.2014 Representative Director, President of SCREEN Semiconductor Solutions Co., Ltd.2019 Joined JSR Corporation2019 Managing Officer, JSR Corporation

Executive Forum
L To top
LPKF Laser & Electronics AG LPKF Laser & Electronics AG Roick, Florian
Active Mold Packaging for Novel Antenna-in-Package Interconnection and Manufacturing
Roick, Florian

Roick, Florian
Business Development Active Mold Packaging
LPKF Laser & Electronics AG

Roick, Florian

Abstract
IC package designers wishing to benefit from space saving Antenna-in-Package (AiP) technologies rely on an intricate selection of materials and interconnect processes to produce a self-contained integrated module. This paper presents a new way to reduce the production complexities of AIP by introducing a novel homogeneous packaging technology: Active Mold Packaging (AMP).Active Mold Packaging directly establishes electrical connections, such as patch antennas, signal vias, and Electro-Magnetic-Interference (EMI) shields for RF applications on the surface and in the volume of the encapsulating Epoxy Mold Compound (EMC). Advancing the development of multifunctional compact devices, AMP in essence transforms the passive and undeveloped real-estate of the EMC into an active carrier of package functionality.A 2.5D interconnect technology to simplify AiP designs and EMI shielding will be presented. AMP integrates familiar process steps: molding of EMCs, Laser Direct Structuring and direct electro-less and galvanic plating. In combination the processing steps result in a robust scalable manufacturing solution, AMP. AMP is uniquely suited for the production of AiP but also a foundation design platform for other novel IC packages.This paper investigates the capabilities of Active Mold Packaging towards mmWave application. First the dielectric properties of three different AMP-EMCs are measured in the D-band. Second, the reflection coefficient S11, as well as the H- and E-plane radiation patterns are determined for a set of AMP manufactured 60 GHz bow-tie slot and dual dipole antennas. Where the measured results are compared to the design values and differences are discussed. And third, the D-band EMI shielding effectiveness of the electroless plated Cu/Ni/Au layer on the surface of three different AMP-EMCs is measured.Lastly, AMP is proposed in a commercially available AiP, benefitting from increased manufacturing simplicity and lower cost.

Biography
M. Sc. Florian Roick, Business Development Manager Active Mold PackagingBorn in 1981. He holds a degree as Bachelor of Science in Applied Physics from Dublin Institute of Technology. And a degree as Master of Science in Electrical Engineering with focus on laser systems, laser physics and microsystems engineering from Hochschule Bremen.Since 2006 employed at LPKF Laser & Electronics AG, until 2008 as application engineer for the StencilLaser business unit. Between 2008 and 2019 strategic product manager responsible for aligning the product portfolio with the needs and requirements of the PCB and SMT markets.Since 2019 Business Development Manager for LPKF’s Active Mold Packaging technology. That is to electrically functionalize the real-estate of the epoxy mold compound on the base of LPKF’s patented Laser Direct Structuring (LDS) technology.Co-inventor of the parametric stick-in and co-author of a variety of publications.

Advanced Packaging Forum
LTM-CNRS LTM-CNRS PELISSIER, Bernard
New industry 4.0 metrology approaches driven by predictive in line control requirements: At the frontier between academic studies and industrial world
PELISSIER, Bernard

PELISSIER, Bernard
Head of the EquipEx IMPACT project and team
LTM-CNRS

PELISSIER, Bernard

Abstract
New industry 4.0 metrology approaches driven by predictive in line control requirements: At the frontier between academic studies and industrial world

Biography
coming soon

EU Digital Future Forum
M To top
MAX Group MAX Group Meyuhas, Ariel
How Precision Maintenance Enables Agile Fabs
Meyuhas, Ariel

Meyuhas, Ariel
Founding Partner and COO
MAX Group

Meyuhas, Ariel

Abstract
Practices & methods variability is the enemy of agility. Many engagements we work on with our clients show that equipment availability and maintenance practices carry high variability, killing capacity and cycle time performance even in very advanced Fabs. We have studied this problem over many years and have developed a very effective suite of tools, best practices and methods we call Precision Maintenance™ for Fabs. Agile Fabs focus their efforts on creating standard approaches, tools and practices aimed at reducing variability in every area of operation and this case study reflects their story. A recent engagement collaborating with a European 200mm Fab will show how we deployed Precision Maintenance™ practices to increase equipment uptime, reduce variability of uptime, ultimately increasing overall capacity and reducing Fab cycle time.

Biography
I am a founding partner and chief operating officer of the MAX Group. The firm is providing a unique range of operational solutions that increase factory and supply chain performance - More product out the door, faster cycle times, higher yields and lower cost of operation. With almost two decades of experience in providing operational consulting to leading edge companies, from start up phase to a mature factory, you can cash in on our success. With over 23 years of experience in the semiconductor industry, I bring vision, innovation, a strong relationship base helping a large portfolio of clientele. I am continuously driving our firm to be the best at what we do providing world class expertise and service. My role focuses on leading people with energy and passion, turning around operations and transforming factory performance in semiconductor companies. I hold a M.Eng in engineering management and a B.Sc. IE degrees.

Fab Management Forum (FMF)
Medical University Graz Medical University Graz Pieber, Thomas
Next generation insulin pumps using new technologies
Pieber, Thomas

Pieber, Thomas
Professor of Medicine, Chair of the Department of Internal Medicine, and Head of the Division of Endocrinology and Diabetology
Medical University Graz

Pieber, Thomas

Abstract
During the last decade, healthcare has been attracting immense R&D effort, following the path of digitalization. Especially, the areas of electronics and sensing exhibit a huge potential and impact, to develop new and accurate medical devices. Here we will discuss the path to novel, smart, and small applications for insulin therapy in the growing field of diabetes.

Biography
Thomas Pieber is Professor of Medicine, Chair of the Department of Internal Medicine, and Head of the Division of Endocrinology and Diabetology at Medical University Graz, Graz, Austria. He is also Director of the Institute of Biomedicine and Health Sciences at Joanneum Research in Graz and founder of CBmed, a competence centre for biomarker research.Professor Pieber has written more than 450 original papers and reviews in peerreviewed journals, and made in excess of 1000 abstract and congress presentations.He is a member of the International Working Group on the Diabetic Foot and a member of the international Cochrane Review Group “Endocrine and Metabolic Diseases”.Among several scientific awards, in 2010 he received the Somogyi Award, whichrecognises the scientific achievement of a person who significantly contributed – either experimentally or clinically – to the better understanding of hypoglycaemia and counterregulatory mechanisms. Professor Pieber is reviewer for several international journals and advices the Austrian Government regarding the national research strategy.

SMART MedTech Forum
Middlesex Industries SA Middlesex Industries SA Horn, George
Throughput Improvement via Logistics
Horn, George

Horn, George
Director
Middlesex Industries SA

Horn, George

Abstract
Automated Material Logistic Systems (AMLS) are the infrastructures of modern manufacturing. In semiconductor factories there have been a) Manual Discrete Lot (MDL), b) Automated Discrete Vehicle (DV), and c) Asynchronous Track (AT) Work in Process transports employed. DV and AT systems span the 200 to 300 mm Wafer format manufacturing. Capabilities and shortcomings characterize each of the above. Integrating the two is superior to either one alone. A new technology c) Hybrid, is the implantation of AT networks into existing DV installations.b) DISCRETE VEHICLE (DV) AMLS. Conceptually simple discrete vehicle delivery is popular. Discrete vehicles delivering wafer lots is easily understood. It is exactly as manual delivery before, but automated. Also easily understood is the dropping of wafer lots from overhead. Therefore, AMSL systems combining these concepts are dominant. They simply automate the manual delivery method. But discrete delivery logistics has no absolute mathematical solution. It works with heuristics. Capacity constraints and system instability, requiring storage, are the draw backs. c) AT NETWORKS. Asynchronous Track AMLS is based on continuous flow of work, massively parallel, and asynchronous. Such networks are always, and immediately available to transport, without capacity constraints. And can respond to load spikes, eliminating instability. And so, reduce fab cycle times. However, they need external hoists to connect to tools.d) DV & AT HYBRID AMLS. Asynchronous Track (AT) network island implants into Automated Discrete Vehicle (DV) AMLS impart greatly increased fab capacity, (balanced process capacities).Ref: Nonlinear growth of Variance in the Process Gaps. A cause of long Cycle times. G. W Horn, W. Podgorski, PhD, CSTIC, 2020

Biography
George W. HornMr. Horn received his BA degree from Harvard University in 1961, and his BS/MS degree in Applied Physics in 1963, also from Harvard University. He spent 7 years working at Ilikon Corporation in space technology. The company was a contractor for the Gemini and Apollo space programs. Later he focused on manufacturing science and statistical process control. He is a past director of the Washington based Automation Forum. During his years at Ilikon he served as Director of Applied Physics (Special Applications of Kinetic Theory). In 1970 Mr. Horn joined Middlesex General Industries as a founder, and served there as Applications Manager. In 1998 He founded Middlesex Industries SA, Switzerland and Middlesex Industries KK in Japan. He now serves as Chairmen for all Middlesex corporations. Mr. Horn has several publications and holds patents related to upper atmosphere simulation, and manufacturing technologies. He has developed the guiding principles for AMHS in Clean manufacturing industries such as Disk Memory Media, Pharma, and primarily Front end Semiconductors, concurrently developing the principles for conveyor based transport of Silicon Wafer Carriers. Globally first, his design was used to build integrated AMHS, direct tool to tool method, in IC manufacturing. His latest studies in AMHS are published in IEEE transactions. He is holder of numerous recent US, China, Taiwan, EU, and Korea patents in AMHS technology.Mr. Horn is a 20-year resident of Switzerland, where he lives today.

Fab Management Forum (FMF)
N To top
NXP Netherlands NXP Netherlands Geraets, Maurice
Mastering the Edge: Critical Factors to Enabling Edge Computing
Geraets, Maurice

Geraets, Maurice
Co-CEO
NXP Netherlands

Geraets, Maurice

Abstract
There is no denying that cloud computing has been a top technology over the past two decades. As the pandemic has forced lockdowns, those who can do their jobs from a computer are still working – from home. This would have been impossible not that long ago. Even though the cloud is key for today, it can’t handle the technologies of the future. Self-driving cars are a perfect example. They need to make ultra-fast, perfectly accurate decisions. There is no time to wait for data to be processed in a data center. This is where edge computing comes in. Edge computing cuts across the IoT - from home and work to the most complex of all, the vehicle. Coupled with the rising digitization that leads to everything connected, high-performance edge compute platforms are transforming ecosystems. In this talk, Maurice Geraets will share why mastering edge computing with the right level of safety and security is critical to enabling next-generation technologies.

Biography
Maurice Geraets MSc MBA is Co-CEO NXP Semiconductors Netherlands. He has over 25 years of experience in the IT and electronics industry and works at NXP Semiconductors since 2002. In his current position he focuses on disruptive innovations for ‘secure connections for a smarter world’. This concerns e.g. intelligent transport systems (ITS) and automated driving. Next to his role at NXP, Maurice is active in the governance of the 1 billion Euro Dutch SmartwayZ program, is board member of several associations on automotive and mobility, is member of the Corporate Partnership Board of the OECD International Transport Forum and is member of the management committee of the European industry association AENEAS.Mr. Geraets holds an Executive MBA degree and a Master of Science degree in Computer Science. Mr. Geraets is Dutch and was born in 1968.

Executive Forum
NXP Semiconductors NXP Semiconductors Vos, Sandra
Above and Beyond Methodology: Robustness Validation of Automotive MEMS Sensors
Vos, Sandra

Vos, Sandra
R&D Director, PL Motion Sensors
NXP Semiconductors

Vos, Sandra

Abstract
MEMS & Sensor devices have been used for safety applications for 2 to 3 decades, but quality and reliability requirements continue to outpace capabilities. The electronics industry has not defined an advanced quality and reliability beyond the AEC Q100 and ZVEI Robustness Validation specifications.NXP is proposing an "Above and Beyond" methodology intended to 1) produce higher body of evidence to enable lower ppm resolution of stress testing, 2) run serial reliability testing to better evaluate failure mechanisms and produce physico-chemical models of these mechanisms, 3) test reliability stress-to-fail to enable reliability modeling of failure mechanisms, and 4) reliability test corner lots to understand the design-process-manufacturing space with respect to quality and reliability.In the presentation, NXP will propose a methodology for tailoring these four types of quality and reliability evaluation testing to MEMS/Sensor products and their intended use-cases: supply chain / assembly and end-user application.Moreover, NXP will promote adoption of this methodology as a means of addressing the continuously stricter requirements particularly related to the security and safety of automotive applications.

Biography
Sandy Vos received her PhD from University of Minnesota in Materials Science and Engineering and has 20 years of industry experience in MEMS, microsystem, materials, component, composite and semiconductor technology and product development. She joined NXP in 2018 and is currently Director of R&D focused on inertial sensing, including automotive safety-critical devices. Her work requires the incorporation of functional safety and advancements in the state-of-the-art quality to MEMS physical sensors, within the significant challenges of an aggressive automotive-focused market as it extends into the vision of autonomous vehicles. Dr. Vos was Director of MEMS Engineering and Sr Manager of Product Development at Knowles Corporation in their Consumer Electronics division. At Knowles she was also a technical and design lead for consumer and hearing health microphone development programs in acoustic MEMS sensors. She has worked in the fields of surface mount fuse and suppressor design and manufacturability at Littelfuse, Inc and plastic composite design and manufacturability at Azdel, Hanwha.

MEMS & Imaging Sensors Forum
O To top
OECD OECD Schleicher, Andreas
Where is the next generation of technology experts?
Schleicher, Andreas

Schleicher, Andreas
Director for Education and Skills
OECD

Schleicher, Andreas

Abstract
We need to learn for the digital world, but the digital world also opens up entirely new opportunities for learning

Biography
Andreas Schleicher is Director for Education and Skills at the Organisation for Economic Co-operation and Development (OECD). He initiated and oversees the Programme for International Student Assessment (PISA) and other international instruments that have created a global platform for policy-makers, researchers and educators across nations and cultures to innovate and transform educational policies and practices.He has worked for over 20 years with ministers and education leaders around the world to improve quality and equity in education. Former U.S. Secretary of Education Arne Duncan said that Schleicher “understands the global issues and challenges as well as or better than anyone I’ve met, and he tells me the truth” (The Atlantic, July 11). Former UK Secretary of State Michael Gove called Schleicher “the most important man in English education” – even though he is German and lives in France.Before joining the OECD, he was Director for Analysis at the International Association for Educational Achievement (IEA). He studied Physics in Germany and received a degree in Mathematics and Statistics in Australia. He is the recipient of numerous honours and awards, including the “Theodor Heuss” prize, awarded in the name of the first president of the Federal Republic of Germany for “exemplary democratic engagement”. He holds an honorary Professorship at the University of Heidelberg.

Fab Management Forum (FMF)
Executive Forum
Okmetic Okmetic Haapalinna, Atte
C-SOI® and patterned wafers enabling advanced MEMS and Sensor applications
Haapalinna, Atte

Haapalinna, Atte
CTO
Okmetic

Haapalinna, Atte

Abstract
Okmetic is the leading supplier of advanced silicon wafers for MEMS Sensors as well as RF and Power applications. MEMS and Sensor applications benefit from Okmetic’s decades-long crystal growth and SOI wafer expertise. Additional advantage for advanced MEMS manufacturing is provided by the company’s unique in-house patterning line for embedded C-SOI® structures, enabling improved device performance and reliability with shortened cycle time. Okmetic has complete set of 150-200mm SSP, DSP, SOI and High Resistivity wafers for even the most demanding application needs.

Biography
Dr. Atte Haapalinna − CTO of OkmeticBorn 1969, D.Sc. (Tech)Key employment history:Okmetic•Senior Vice President, Products 2014-2017•Business Development Manager, new business development 2011-2013 •Application Manager 2008-2011•Senior Application Engineer, Customer Support Engineer, Development Engineer 1998-2008Fraunhofer Institut für Prodktionstechnologie (IPT)•Visiting Scientist 2001 •Helsinki University of Technology•Scientist 1995-1998

MEMS & Imaging Sensors Forum
P To top
PEER Group PEER Group Suerich, Doug
Cost-effective Automation for Legacy Factories
Suerich, Doug

Suerich, Doug
Product Evangelist
PEER Group

Suerich, Doug

Abstract
The Industrial Internet of Things (IIOT) and rise of 5G have increased demand for electronics, and have introduced renewed need for automation at existing 200mm facilities. These “legacy” factories already run at full capacity and have little or no room for expansion, so manufacturers are seeking innovative ways to introduce Smart Manufacturing initiatives, increase productivity, and optimize throughput and yield to meet the increased demands. New facilities built to support older nodes sizes also want to capture market share, and have the same needs as their legacy counterparts.Although older node sizes and technologies are back in fashion, that doesn’t mean these facilities are limited to outdated manufacturing paradigms. Ambitious factories are looking at hyper-automated 300mm facilities to learn best-in-class methods for deploying automation and advanced manufacturing techniques. The SEMI® automation standards related to 300mm manufacturing describe efficient ways to implement automation, and these same models can be used in any facility, new or retrofit, to achieve major gains.PEER Group® provides products and solutions (including our PEER FACTORY® Station Controller, PFSC™) to rapidly update factory-wide connectivity, data collection, and control systems and allow any factory to integrate new and existing equipment efficiently. We help customers leverage best practices for factory automation and enable the latest advancements in analytics, scheduling, advanced process control, and predictive maintenance.

Biography
Doug Suerich is Product Evangelist at The PEER Group Inc., the semiconductor industry’s leading supplier of factory automation software for smart manufacturing and Industry 4.0. Doug focuses on big data and remote connectivity solutions that help manufacturers collaborate securely on tools and data in production environments. A passionate advocate for smart manufacturing, Doug serves as an active member of the SEMI® SMART Manufacturing Technology Community, Americas Chapter.Doug has over 20 years of experience leading software teams for a variety of industries including semiconductor, manufacturing, and transportation. Most recently, he was involved in architecting PEER Group’s remote connectivity solution, Remicus™, and he was a champion in promoting the use of cloud computing and latest-generation web technologies.Prior to joining PEER Group, Doug was a software development manager, automation engineer, information systems specialist, and consultant. He has extensive experience designing and integrating robust automation software solutions. Doug holds a Bachelor of Applied Science with Honours in System Design Engineering from the University of Waterloo.

Fab Management Forum (FMF)
Picosun Group Picosun Group Blomberg, Tom
Picosun Group presents PICOSUN® Sprinter, a fast batch ALD system for high volume manufacturing on 300 mm wafers
Blomberg, Tom

Blomberg, Tom
Technology Manager / R&D Leader
Picosun Group

Blomberg, Tom

Abstract
The PICOSUN® Sprinter is designed to disrupt batch ALD production on 300 mm manufacturing lines in the semiconductor, display, and IoT component industries. The SEMI S2/S8-certified Sprinter combines the leading single-wafer film quality and uniformity with fast processing, high throughput, and uncompromising reliability. Thanks to the fast process times, Sprinter’s thermal budget is lower than typical vertical furnace reactors commonly used in batch ALD manufacturing. This makes Sprinter ideal also for sensitive substrate materials and devices. Medium batch size combined with very fast processing guarantees production flexibility with reduced risk without sacrificing throughput. In Sprinter, barrier, high-k oxide, and conductive films are deposited with perfect ALD in mass production volumes.

Biography
Dr. Tom Blomberg works as the R&D team leader in Picosun Oy and is responsible for new reactor technology development and research. He has more than 20 years of experience in developing ALD technology for the semiconductor manufacturing market. He has authored or co-authored around 30 publications on thin film deposition and energy related matters and holds more than 30 US or international patents on different subjects in the ALD and related technologies.

MEMS & Imaging Sensors Forum
PROPHESEE PROPHESEE Verre, Luca
Toward Event-Based Vision Wide-scale Adoption
Verre, Luca

Verre, Luca
CEO
PROPHESEE

Verre, Luca

Abstract
Since their inception 150 years ago, all conventional video tools have represented motion by capturing a number of still frames each second. Displayed rapidly, such images create an illusion of continuous movement. From the flip book to the movie camera, the illusion became more convincing but its basic structure never really changed.For a computer, this representation of motion is of little use. The camera is blind between each frame, losing information on moving objects. Even when the camera is recording, each of its “snapshot” images contains no information about the motion of elements in the scene. Worse still, within each image, the same irrelevant background objects are repeatedly recorded, generating excessive unhelpful data.Evolution developed an elegant solution so that natural vision never encounters these problems. It doesn’t take frames. Cells in our eyes report back to the brain when they detect a change in the scene – an event. If nothing changes, the cell doesn’t report anything. The more an object moves, the more our eye and brain sample it.This is the founding principle behind Event-Based Vision – independent receptors collecting all the essential information, and nothing else.Prophesee is the inventor of the world’s most advanced neuromorphic vision systems. Composed of patented Metavision® sensors and algorithms, these systems enable machines to see what was invisible to them until now.With 10-1000x less data generated, >120dB dynamic range and microsecond time resolution (over 10k images per second equivalent), Prophesee Metavision® opens vast new potential in areas such as autonomous vehicles, industrial automation, security and surveillance, mobile, IoT and AR/VR. Its solutions improve safety, reliability efficiency and user experiences across a broad range of use models.

Biography
Luca Verre is Co-Founder and CEO of Prophesee, the inventor of the world’s most advanced neuromorphic vision systems. Prophesee’s patented technology is inspired by human vision, giving sight back to the blind and unlocking new safety and autonomy standards for cars, robots and mobile devices. Luca is a World Economic Forum Technology Pioneer. He has extensive international management experience in the industrial and electronics sectors. His experience includes project and product management, marketing and business development roles at Schneider Electric. Prior to Schneider Electric, Luca worked as a Research Assistant in Photonics at the Imperial College of London. Luca holds a MSc in Physics, Electronic and Industrial Engineering from Politecnico di Milano and Ecole Centrale and an MBA from INSEAD.

MEMS & Imaging Sensors Forum
R To top
RENA RENA Rueland, Eric
High-throughput Semiconductor Wet-Chemical Wafer Processing for Silicon and Compound Material Technology
Rueland, Eric

Rueland, Eric
VP Sales, Marketing & Product Management
RENA

Rueland, Eric

Abstract
RENA started 1993 with customized solutions for wet-chemical equipment in the Semiconductor market. A strong focus on engineering excellence and efficient manufacturing of production tools was the basis of success. Building special machines led to a first prototype for a new process technology in solar industry, which was an initial start at RENA of developing wet-processing equipment platforms combined with a strong development of process solutions.This concept was transferred to the semiconductor field and together with RENA North America (former MEI LLC) a wide range of customized solutions for wafer manufacturing, semiconductor processing and compound materials are established.The efficient processing like stripping, etching, cleaning and drying is combined in a high-throughput equipment platform. The carrier-less handling allows extremely flexible and efficient handling of diameters up to 300mm and lot sizes up to 50 wafers each. The integration and combination of highly efficient stripping and etching processes like the metal lift-off FluidJet and TruEtch is leading to a perfect processing platform for MEMS and image sensor solutions. The excellent processing performance in the machine can be shown as well as an outstanding final cleaning and drying efficiency.The combination of equipment and process performance offers a flexible tool to design and manufacture latest state-of-the art semiconductor devices.

Biography
Dr. Eric Rüland is Vice President for product management, sales and marketing at Rena Technolgies and in charge for product strategy of wet-chemical equipment in the market segments of Green Energy, Semiconductor, MedTech, Glass and others.After studying solid-state physics at the university in Stuttgart and Hamburg Eric Rüland received his PhD from University of Berlin, finishing the PhD thesis about new materials for solar cells.Working in the solar industry in different management positions for Product Management, Sales and Marketing over more than 20 years and growing GP Solar a start-up business to a mid-size company with a global footprint in solar and semiconductor metrology. After holding another global management position at Jenoptik industrial metrology, offering solutions in the automotive segment, 2020 a re-start in the solar and semiconductor field for wet-chemical solutions at RENA took place.

MEMS & Imaging Sensors Forum
Robert Bosch GmbH Robert Bosch GmbH Frangen, Joachim
Future wafer handling concept powered by permanent magnetic levitation
Frangen, Joachim

Frangen, Joachim
Chief Expert Production Automation Engineering
Robert Bosch GmbH

Frangen, Joachim

Abstract
The semiconductor process technology permanently undergoes disruptive changes while aiming at higher integration, whereas the wafer transportation and handling technology has been comparably stable over decades.Levitating wafer transport in a vacuum is a door opener towards higher efficiency, higher yield and increasing wafer diversity in a Semiconductor Factory of the Future. While coil based levitation technologies suffer from inefficiencies in magnetic field generation, the cutting-edge permanent magnetic levitation technology provides a huge leap forward. Based on permanent magnets, smart AI and high precision sensing, it enables highest forces and torques, full planar motion in six degrees of freedom, including full rotation around the vertical axis and a huge levitation gap, at low power consumption and without surface heating.Permanent magnetic levitation technology opens the way towards large and modular cluster tools in a linear arrangement, with multiple wafers being transported simultaneously on individual paths and in individual process sequences. Based on software defined transportation and processing, the benefit of Industry 4.0 can be realized in a semiconductor plant.

Biography
Joachim Frangen is Chief Expert for Production Automation Engineering at Robert Bosch GmbH, Corporate Sector Research and Advance Engineering in Renningen (Germany). Joachim focuses on Industry 4.0 and levitation technology.Joachim joined the Bosch Group in 1990 after receiving a diploma degree in physics at the University of Paderborn. After 5 years assignment as a Project Manager for Engine Management Systems, he changed to Corporate Research where he became Senior Expert for Industrial Image Processing and Sensor Technology. In 2001, his next position was Senior Manager for a research group in Assembly Technology and Industrial Robotics, with focus on Convertible Production and Collaborative Robotic Systems.After an intermediate position as a Business Development Manager for Transportation Sensor Systems at Bosch Engineering in 2009/2010, he returned to Corporate Research as a Senior Manager for Industrial Image Processing and Machine Learning Technologies. In 2014, he became Chief Expert for Production Automation. From 2015 to 2020 he headed the Strategic Research Program ‘Industry 4.0 – Connected Industry’ as a Program Director.

Fab Management Forum (FMF)
Robert Bosch GmbH Stuttgart, Corporate Sector Research & Advance Engineering Robert Bosch GmbH Stuttgart, Corporate Sector Research & Advance Engineering Laermer, Franz
Starting the Continuum: Fast Track to Diagnostics with Semiconductors
Laermer, Franz

Laermer, Franz
Research Fellow (Senior Chief Expert)
Robert Bosch GmbH Stuttgart, Corporate Sector Research & Advance Engineering

Laermer, Franz

Abstract
Starting the Continuum: Fast Track to Diagnostics with SemiconductorsMolecular Diagnostics opens deep insight into the root-causes of many diseases. However, it imposes a huge effort on medical staff and is both time-consuming and expensive. The Bosch “VIVALYTIC” system is an open platform for the automation of complex molecular diagnostics workflows. It has proven its ability to take molecular diagnostics to the “point of need”. Miniaturization, microsystems and microfluidics technologies as well as microelectronics are the keys to success. The SARS-CoV-2 pandemics has clearly demonstrated the power of the platform to integrate several rapid tests for the detection of SARS-CoV-2 infections within a rather short development time. The first new Corona-test could be made available by Bosch in April this year as an important step to fight the global pandemics and restrict the spread of the disease. Even faster tests are on our development path and close to market entry.In future, apart from infectious disease diagnostics, our solutions will enable a paradigm shift in medical treatment, away from the "one drug fits all"-approach towards personalized therapies in a "the right drug for the right patient"-strategy. This especially holds for targeted cancer therapies.

Biography
Dr. Franz Laermer joined the Corporate Research and Technology Center of Robert Bosch GmbH, Stuttgart, Germany, in 1990, where he started the development of new key technologies and sensor functions for the upcoming field of Micro-Electro-Mechanical Systems (MEMS) at Bosch. His activities were mainly focused on new microstructuring, surface-micromachining and sacrificial layer etching technologies, as well as micro-accelerometers, gyroscopes and pressure sensors for the automotive area.Dr. Franz Laermer managed a number of projects which were essential for many generations of microsensors at Bosch. He worked as Project Director for TOP-level innovation projects covering new application fields beyond automotive, including the biomedical area. Today he is working as Bosch Research Fellow/Senior Chief Expert for Microsystems, Microfluidics and Molecular Diagnostics. His work laid the foundation for the VIVALYTIC Diagnostics Platform of the newly founded Bosch Healthcare Solutions (BHCS) Business Division and the SARS-CoV-2 rapid tests from Bosch.Dr. Franz Laermer is the co-inventor of the "Bosch Deep Reactive Ion Etching Process" (“BOSCH-DRIE”) for microstructuring silicon. This key microstructuring technology revolutionized MEMS and is the root of all of today’s silicon based MEMS. He holds more than 200 patents.Dr. Franz Laermer was awarded with the prize “European Inventor of the Year 2007 – Category Industry” by the European Commission and the European Patent Office (together with co-inventor Andrea Urban), for the invention, development and sustainable success of the “BOSCH-DRIE”-process. In 2014 he received the “2014 IEEE Jun-ichi Nishizawa Medal Award” from the Institute of Electrical and Electronics Engineers (IEEE), USA. In 2019 he was awarded with the "2019 Technology Prize" of the Eduard-Rhein-Foundation, Germany.

SMART MedTech Forum
S To top
Samsung Semiconductor Europe Samsung Semiconductor Europe Fischer, Axel
Coming soon
Fischer, Axel

Fischer, Axel
Vice President Foundry Business EMEA
Samsung Semiconductor Europe

Fischer, Axel

Abstract
Coming soon

Biography
Axel Fischer joined Samsung Semiconductor Europe in Year 2002 and is heading as Vice President the Foundry Business Unit in EMEA since 2017. He is leading the European Strategy, Sales, Marketing and Technical Support Organisation. Prior to this he lead the SLSI Business Unit in Europe.Previously he worked at Texas Instruments in Nice within the ASIC and Wireless Terminal BUs in Marketing and Business Development Management roles. He started his professional career at Cypress Semiconductor in 1995. Axel served as a Board Member in the OSPT Alliance.

Executive Forum
Schneider Electric Schneider Electric Delorme, Philippe
Coming soon
Delorme, Philippe

Delorme, Philippe
Executive Vice-President of Energy Management
Schneider Electric

Delorme, Philippe

Abstract
Coming soon

Biography
Philippe Delorme is Executive Vice-President of Energy Management and a member of the Executive Committee at Schneider Electric. He leads a 21bn€ business with a passionate team to provide world-leading energy technologies, software and services to make energy safe and reliable, efficient and sustainable, open and connected. He has over 25 years of experience at Schneider Electric, where he has held a variety of leading management positions across different business units and functions, originally in the US and France then globally. Philippe graduated from the Centrale Paris engineering school and holds an MBA of International Business from Science Po Paris. Today he is based in Hong Kong.

Executive Forum
SEMI Foundation SEMI Foundation Williams-Vaden, Michelle
Fire-side chat with Sherry Alexander
Williams-Vaden, Michelle

Williams-Vaden, Michelle
Deputy Director
SEMI Foundation

Williams-Vaden, Michelle

Abstract
Michelle Williams-Vaden chats with Sherry Alexander about Sherry's new position as the new Head of Diversity, Equality, and Inclusion at NXP, and her experience of being Black and female in the microelectronics industry. Please join us to hear Sherry's stories ranging from what it's like to be a 5'10" Black woman walking through an airport in China to the powerful ways all of us can support diversity and belonging in our companies.

Biography
Michelle Williams-Vaden is the Deputy Director of the SEMI Foundation. Michelle is responsible for the organization’s storytelling and communications, resource generation, and working toward diversity, equity, and inclusion within the Foundation, within SEMI, and for the industry.Michelle’s diverse professional background includes working as a professional musician and performer, published writer, Emergency Medical Technician, emergency services responder in disaster relief, and in wine education. For the last 15 years, Michelle has served at the helm of nonprofit organizations dedicated to strengthening local communities and positively impacting policy and program development on regional, state-wide, and national levels.Most recently she served as Executive Director at Arts Council Santa Cruz County, where she led an institutional turnaround and oversaw systemic and programmatic overhauls to address equity, sustainability, and greater community impact. At the core of Michelle’s work is devotion to equitable and supportive workplace culture, and the cultivation and nurturing of powerful and successful cross-sector partnerships.Michelle recently relocated to Tampa, Florida, where she lives with her husband and two young sons, and where she is still getting used to looking for alligators on a daily basis.

Diversity Forum
Senior Director - Diversity, Inclusion and Talent Senior Director - Diversity, Inclusion and Talent Scott, Alicia
Unconscious Bias – A discussion on how we tackle it in the Tech Space
Scott, Alicia

Scott, Alicia

Senior Director - Diversity, Inclusion and Talent

Scott, Alicia

Abstract
Diversity Equity and Inclusion (DEI) has become top of mind for companies around the globe; and employers are giving more attention and resources towards their efforts in creating a more diverse, equitable and inclusive workforce. Yet culture and societal factors, including unconscious bias, can negatively impact efforts to create a diverse workforce. Hear how we can address and overcome these challenges through the lens of DEI in the technology space.

Biography
Alicia Scott is the Senior Director of Diversity, Inclusion and Talent at ON Semiconductor, leading the diversity and inclusion (D&I), talent acquisition and HR KPI teams. Her work is to ensure D&I is embedded within everything the organization does based on workplace inclusion, workforce diversity and community partnerships, recognizing that the company is at its strongest when it effectively draws upon the wide variety of experiences, knowledge, culture and backgrounds from all employees and leaders. With changing demographics, increasing demand from customers, and mobility across borders, Alicia’s mission for the D&I initiative at ON Semiconductor is to shift how the organization attracts, retains and develops talent to create a more inclusive environment that leverages diversity effectively. Having been with ON Semiconductor since becoming a public company in 2000 working as an engineer and in product development, Alicia noticed a great need and demand for a D&I initiative at a global company that was experiencing immense growth, reaching $5.5 billion in revenue at the end of 2019. In 2017, Alicia transitioned to lead this initiative at the organization from a global perspective, working with the executive team and board of directors to develop, enhance and deploy a comprehensive global D&I strategy. During Alicia’s tenure leading the D&I initiative, it has grown to include seven Affinity Network Groups including the Women’s Leadership Initiative (WLI), STEM UP (Science, Technology, Engineering and Math for the Underrepresented Population), Cultivate (multi-generational), Employee Activity Committee (EAC), Black Employee Network (BEN), Continua (LGBTQ+), and Veteran and Military Employees (VME). Alicia also serves as the Chair of the Diversity and Inclusion Senior Leadership Council, is a Business Ethics Liaison and committee member for Global Corporate Giving program for the company. During Alicia’s tenure leading the D&I initiative, it has grown to include eight Affinity Network Groups including the Women’s Leadership Initiative (WE), STEM UP (Science, Technology, Engineering and Math for the Underrepresented Population), Cultivate (multi-generational), Employee Activity Committee (EAC), Black Employee Network (BEN), Continua (LGBTQ+), Veteran and Military Employees (VME) and The Bridge (multiculturalism).EducationArizona State University, W.P. Carey School of BusinessMasters of Business Administration - May 2004Rochester Institute of TechnologyBachelor of Science in Microelectronic Engineering - May 1997

Diversity Forum
Silicon Austria Labs GmbH Silicon Austria Labs GmbH Mohssen, Moridi
Emerging piezo MEMS devices, trends and perspectives
Mohssen, Moridi

Mohssen, Moridi
Director & Head of Research Unit MST
Silicon Austria Labs GmbH

Mohssen, Moridi

Abstract
Even though if innovation of new MEMS products lays mainly on new design however the recent advance in piezoelectric material and high quality piezo thin-film deposition technologies open new opportunities for piezo based MEMS devices. In this talk I will give an introduction about Silicon Austria Labs GmbH and our perspective about the future of piezo electric MEMS.

Biography
coming soon

MEMS & Imaging Sensors Forum
SPEA SPEA Bardo, Emanuele
SPEA, Leader in MEMS Test & Calibration Technologies
Bardo, Emanuele

Bardo, Emanuele
Sales & Development Semiconductor Director
SPEA

Bardo, Emanuele

Abstract
SPEA is a leading supplier of capital equipment for MEMS wafer level testing & final test and calibration for a variety of MEMS and sensors, including accelerometers, gyroscopes, pressure sensors, environmental and gas sensors, humidity sensors, silicon microphones and speakers, proximity and ToF sensors, magnetic sensors, light sensors, 6/9 DoF sensors.The company is among the most innovative players in this sector, striving to stay ahead with constantly evolving technology driving down the cost of test and calibration with best-performance equipment.Complete test cells for MEMS devices, combining pick&place handling, testing, contacting, and physical stimulus can represent a huge competitive advantage. Based on a modular architecture, they can optimize the cost of capital equipment, making it flexibly adaptable to test different, evolving technologies, while the capability of accurately handling also the smallest packages based on a self-calibrated system, without needing any external calibration tools, is a key factor. SPEA is also at the forefront with analog mixed signal testers. The company recently came up with a revolutionary device-oriented testing (DOT) architecture, representing a new way to test semiconductor components. DOT800 combines the capabilities of two testers into a single, test-head-only system, giving a true zero-footprint solution. Superior test performance comes with a multi-site efficiency >99.5% for a broad range of devices, ranging from consumer to automotive, from power management to SerDes, from MCU to RF and wireless.A dedicated line of test equipment addresses the needs of power semiconductor devices, with the possibility to combine on a single machine all the resources to perform ISO, AC, DC test on the whole range of power applications: power discretes (Si/SiC/GaN technology), IGBT modules, IPM, KGD, at wafer, DBC or final test stage.

Biography
Emanuele Bardo is currently Sales & Development Semiconductor Director at SPEA, a tier-1 company in the field of automatic test equipment and automation for semiconductor, MEMS, sensors and electronic boards. He has held this position since 2018, being involved in Sales Management since 2007. Prior to that, he developed a deep technical knowledge with a master’s degree in Front-End Manufacturing Processes at the Politecnico di Torino, Italy, then working as Test Application Engineer at SPEA, since 2005.

MEMS & Imaging Sensors Forum
SPTS Technologies Ltd SPTS Technologies Ltd Jones, Chris
Deposition and Etch Processing of highly-doped AlScN for Piezo-MEMS applications
Jones, Chris

Jones, Chris

SPTS Technologies Ltd

Jones, Chris

Abstract
Aluminum nitride has proven to be a popular material choice to replace PZT in a variety of piezoelectric applications which include MEMS microphones, sensors, energy harvesters and some RF filters. In recent years it has been shown that the addition of scandium increases the material’s piezoelectric properties, improving device performance. This more-complex alloy, however, does present wafer processing challenges to the device manufacturer, namely the AlScN films are more difficult to deposit using PVD where even producing high Sc-content sputtering targets is a major hurdle, and also AlScN becomes more difficult to etch with increasing Sc content when using conventional inductively coupled plasma (ICP) etching.In this paper we will present the latest PVD technology solutions to deposit very thin, highly doped layers of AlN. We will discuss how to control the critical aspects of doped AlN deposition, like the layer thickness uniformity, the crystallographic texture of the film, the control of mis-orientated grains and most importantly the control of the stress state of the film within the wafer. Increasing the Sc makes plasma etching more problematic because of the low volatility of scandium halides relative to those of Al and N. In fact, this etch process becomes impossible in a standard ICP module at Sc-contents >25%. We will also present the latest etch data from both standard ICP type reactors and a high density plasma etch.

Biography
Chris Jones is Senior Director, PVD & ECD Product Management at SPTS Technologies and is responsible for the company’s PVD and ECD product lines covering all aspects of marketing including product positioning and the provision of support to the worldwide sales team.After completing his BEng in Mechanical Engineering in 1995 at the University of Bristol, UK, he joined SPTS working in Field Service and then Process Engineering before moving into Product Management in 2005.Chris has presented widely on SPTS products and is an author of several technical articles.

MEMS & Imaging Sensors Forum
STMicroelectronics STMicroelectronics Hofmeister, Anton
MEMS Actuators at the Core of Emerging Applications
Hofmeister, Anton

Hofmeister, Anton
Group Vice President - General Manager MEMS Actuator Division
STMicroelectronics

Hofmeister, Anton

Abstract
not available yet

Biography
Anton Hofmeister is Group Vice President at STMicroelectronics (STM) and General Manager of the MEMS Actuator Division. He is located in Agrate Brianza/Italy.Anton has been with STM for over 30 years and has worked in Germany, France, USA and Italy. During his career, he has held managerial positions in Key Account Management, Product and Strategic Marketing, Advanced R&D and General Management. For the past >10 years, he has managed various product divisions in the MEMS sector. Anton has also served as a board member of the Singapore based Molecular Diagnostics company “Veredus Laboratories” and is Managing Director of STM’s German subsidiaries.

MEMS & Imaging Sensors Forum
SUSS MicroTec Netherlands B.V. SUSS MicroTec Netherlands B.V. Brok, Wouter
Inkjet printing in manufacturing
Brok, Wouter

Brok, Wouter
Innovation Manager for PiXDRO inkjet products
SUSS MicroTec Netherlands B.V.

Brok, Wouter

Abstract
With the work of Gutenberg, printing technology enabled the mass manufacturing of books and other printed media. Today, printing technology spread beyond the graphical industry and plays a role in the manufacturing of many products, e.g. in electronics applications. In this presentation an update will be given of the role of inkjet printing in this landscape. We will show how the unique capabilities of inkjet printing qualify it for new ways of manufacturing. The benefits can be diverse and range from enabling things that were not easily possible before, to more basic effects such as decreasing cost through floor space, labor or waste management. Specific examples will be given for the manufacturing of printed circuit boards and semiconductors.

Biography
dr.ir. W.J.M. (Wouter) Brok is Innovation Manager for PiXDRO inkjet products at SUSS MicroTec Netherlands B.V.. He studied applied physics and earned a Ph.D. in gas discharge physics. In 2007 Wouter joined OTB Engineering. At this company he worked on systems and processes for manufacturing of different solar cell concepts. Through a series of acquisitions, this company eventually became SUSS MicroTec Netherlands B.V. in 2020. His present focus is on industrial inkjet printing for applications in various fields, such as semiconductors, printed circuit boards and photovoltaics.

Advanced Packaging Forum
T To top
Teledyne e2v Teledyne e2v Segovia, Jose
120fps, Ultra High Definition (8K UHD), Low Noise, Global Shutter Sensor for High-end Rigid Endoscopy
Segovia, Jose

Segovia, Jose
Senior Principal Engineer
Teledyne e2v

Segovia, Jose

Abstract
Teledyne e2v presents the use of our Emerald 36M CMOS image sensor (which is a derivate version of Emerald 67M) for use in high-end rigid endoscopy. Teledyne e2v has several image sensors suitable for rigid endoscopy: Emerald 2M, Emerald 8M and finally Emerald 36M. This particular sensor provides ultra-high definition resolution, low noise (2.8eRMS) and high speed (120fps), enabling the medical surgeon to have a system with a reduced number of total cameras, increased precision in surgery and also improved patient comfort.

Biography
Jose Segovia Senior Principal EngineerB. Eng. Engineering Telecommunications Electronics Seville University 2003 MhD. Degree Microelectronic Master: Design and applications of Micro and Nanometer systems (December 2010). University of Seville Telecommunications Electronics Engineer from the University of Seville. Joined Teledyne AnaFocus in 2005 as analog and mixed-signal design engineer. He was involved in high-speed pipelined ADCs, current steering DACs, LVDS drivers between others. In 2009 he was promoted to technical director in image sensors project. Under Jose’s direction, more than 5 projects has been successfully developed becoming specialist in ultra-high speed sensors and low noise CIS, with strong background on analog and mixed-signal design. In 2010 he received the MhD. Degree from University of Seville and Microelectronic Institute of Seville. Recently, in June 2019 he has promoted to Senior Principal Engineer taking care of the technology development of images sensors inside Teledyne in several sites. He has written several papers in international conferences and he contributes actively to the development cutting-edge technology in Teledyne.

MEMS & Imaging Sensors Forum
Tokyo Electron Tokyo Electron Sekiguchi, Akihisa
Present and Future of Technology in the Age of Paradigm Shift
Sekiguchi, Akihisa

Sekiguchi, Akihisa
CTO
Tokyo Electron

Sekiguchi, Akihisa

Abstract
Present and Future of Technology in the Age of Paradigm Shift

Biography
Dr. Akihisa (Aki) Sekiguchi currently serves as the Alliance Strategy GM and Deputy Division General Manager of Tokyo Electron Limited’s Corporate Innovation Division. Aki is responsible for planning and executing worldwide alliance strategy for this corporate division. He is on SEMI’s Board of Industry Leaders and member of the CTO Forum. In previous roles, he has led corporate marketing and R&D. Prior to joining Tokyo Electron in 2007, he worked for IBM Microelectronics Division for 17 years. As part of Semiconductor Research and Development Center, he worked on the development of FEOL, MOL, BEOL process technologies that enabled IBM’s DRAM and SOI based logic technologies.During his last three years with IBM, he was in charge of process technology transfer to Sony (Play Station 3).Aki earned his Ph.D. in Applied Physics from Columbia University, M. Eng. and B.S. in Engineering Physics from Cornell University, and a MBA in Finance from Stern School of Business (NYU while working for IBM). His doctoral thesis was on experimental plasma physics for fusion.

Executive Forum
Tokyo Electron Limited Tokyo Electron Limited Shekel, Eyal
Data Utilization for Equipment Intelligence Using AI
Shekel, Eyal

Shekel, Eyal
Senior Vice President Service Strategy and Excellence
Tokyo Electron Limited

Shekel, Eyal

Abstract
AI technology continuously becomes a key enabler for smart manufacturing. We / Tokyo Electron(TEL) see our equipment on a development roadmap from Single Standalone Tool to providing integrated manufacturing solutions enabled by AI. TEL will provide an insight where we see the actual benefits of data analysis in the process from R&D and Ramp Up, to High Volume Manufacturing. (e.g. Process Optimization and Virtual Metrology.)Through TEL SERVICE ADVANTAGE, we can respond to our customers’ requests and technical advancements promptly. An important component is our remote connection and data analysis capability. TEL analyzes equipment data from various sensors without the need of a physical on site presence, and provides solutions matched to customer-specific needs.

Biography
Eyal is a twenty-seven years veteran in the Semiconductors industry. Upon his graduation as a Mechanical Engineer from the Technion (Israel leading technical institute), Eyal has joined Applied Materials. In 1997 he has moved-on to Tokyo Electron, served as the Regional Service Manager of Israel, and soon after appointed as the company General Manager.Since 2005 Eyal has been part of the TEL European senior management, and up to 2019 was responsible for the Service and Support Operations for TEL Europe as a Senior Vice President.Last year, Eyal has transferred this responsibility onwards, and is now SVP for Service Strategy and Excellence. In parallel Eyal co-leads (as two in a box) the corporate Global Service Committee in TEL Japan.

Fab Management Forum (FMF)
Trelleborg Sealing Solutions Trelleborg Sealing Solutions Gulcur, Murat
Correct Material Selection and Life-Time Prediction of Elastomer Parts Using FEA Simulations
Gulcur, Murat

Gulcur, Murat
Material Development Manager
Trelleborg Sealing Solutions

Gulcur, Murat

Abstract
Choosing the right elastomer sealing material has utmost importance to maintain vacuum integrity in semiconductor processes therefore keeping the tool downtimes at minimum. Qualification of a new elastomer sealing material brings some risks for the end user as installing a new material can cause contamination or premature failures which can cause more damage than the benefits of the new sealing material. At this point, it is important for an elastomer part manufacturer to provide relevant data to prove the suitability of the material to the application such as plasma exposure tests in various different process gasses, outgassing, trace metal and extractables analyses results and to help understand other factors like the damping behaviour of the material.During a new material qualification another important topic is to determination of the lifetime of the sealing materials. It is extremely important for semiconductor OEMs to specify the right sealing material and microchip manufacturers to maximize the mean-time between cleans (MTBC). Sealing force created by a sealing part and its decrease over time. By using correct tests on this behaviour for input in advanced Finite Elemental Analysis (FEA), it is possible to predict the lifetime of the sealing parts by simulation. Such an analysis allows to capture the influence of both material properties and seal design on the lifetime. By combining data measured at elevated temperatures, a lifetime prediction for long time scales can be carried out based on test data of short time scales. The FEA will provide a prediction on the loss of sealing force over years and these results allow to estimate how many years the function of the seal can be fulfilled.

Biography
Murat Gulcur is Material Development Manager at Trelleborg Sealing Solutions UK. He has 17 years of experience in the field of elastomer technology and semiconductors, mainly single molecule/organic electronics. He holds a PhD degree in chemistry from Durham University (UK), has co-authored research papers in renowned journals and holds several patents.

Fab Management Forum (FMF)
TriEye TriEye Livne, Ziv
Short-Wave Infrared Breaking the Status Quo - Identifying Hazards on the Road and Solving the Low Visibility Challenge
Livne, Ziv

Livne, Ziv
Chief Business Officer
TriEye

Livne, Ziv

Abstract
One of the most basic challenges for ADAS and Autonomous Vehicles (AV) is the ability to operate in all weather and lighting conditions. Increasingly, sensing solution architects are realizing existing sensor fusion solutions (including radar, lidar, and standard cameras) are unable to detect and recognize potential hazards under common low-visibility conditions: night time, fog, haze, etc. Meaning machine vision algorithms are unable to make reliable and safe driving decisions. TriEye is breaking the sensor fusion status-quo with a CMOS-based Short-Wave Infrared (SWIR) HD-camera. Based on advanced nanophotonics research, enabling fabrication of low-cost SWIR sensors at scale, solving the low visibility challenge for OEMs and T1s. In the presentation TriEye will explain why SWIR spectrum can support automotive applications better than VISBLE\NIR\FIR through fog, dust, night time, etc. Additionally, we will present experimental results which compare the contrasts of visible and SWIR camera images of the same scene, imaged through common fog types and imaging at night.

Biography
Ziv Livne is the VP Product and Business Development at TriEye, where he is part of the founding team that achieved a mass-scale technological breakthrough in record time, creating a giant leap in ADAS and AV safety, reliability, and functionality. He has vast experience in R&D, product and business development from various startups and large corporations. Ziv was also an Investment Director at Grove Ventures, where he managed several investments in cutting edge technologies. Ziv has a BSc in Electrical Engineering from the Technion - Israel Institute of Technology, and an MBA from Tel Aviv University.

SMART Mobility Forum
TSMC Europe TSMC Europe Marced, Maria
Shaping the Digital Transformation
Marced, Maria

Marced, Maria
President
TSMC Europe

Marced, Maria

Abstract
Maria Marced will explore the current Megatrends that are driving the Digital Transformation, through the deployment of 5G and High Performance Computing HPC. The Global pandemic is impacting all our lives, and the semiconductor industry is taking the opportunity to boost its innovation and transform our world. And TSMC technology and manufacturing excellence are accelerating the digital transformation.

Biography
President TSMC EuropeMrs. Maria Marced is President of TSMC Europe, with responsibility for driving the development, strategy and management of TSMC’s business in Europe.Before joining TSMC, Maria was Senior Vice President and General Manager of Sales and Marketing at NXP Semiconductors/Philips Semiconductors.Maria joined Philips Semiconductor as Senior Vice President and General Manager of the Connected Multimedia Solutions Business Unit, overseeing Philips' semiconductor solutions for Connected Consumer applications.Prior to Philips, Maria was employed at Intel where she developed her professional career for more than 19 years, reaching the top position as Vice President and General Manager of Intel EMEA .Maria, after finishing her studies at the “Universidad Politecnica de Madrid, Spain”, worked as a development engineer for several companies, among others Electrooptica Juan de la Cierva, where she pioneered the usage of microprocessors; as well as Telefonica where she was part of a packet switching project, embryos of today’s Internet.Maria serves as a non-executive board member at Ceva Inc., and she serves as Chairwoman of the EMEA Leadership Council of the GSA (Global Semiconductor Association). Maria was born in Valencia-Spain and she is married with one daughter.

Executive Forum
U To top
Umicore Umicore Steegen, An
Enabling a sustainable semiconductor material supply chain
Steegen, An

Steegen, An
Chief Technology Officer
Umicore

Steegen, An

Abstract
Enabling a sustainable semiconductor material supply chain

Biography
An Steegen (°1971) holds a PhD in Material Science and Electrical Engineering from the Catholic University of Leuven, KUL, in collaboration with the Interuniversity Microelectronics Center, IMEC, in Belgium. She joined IBM Semiconductor R&D in Fishkill, New York, in 2000. As R&D director and executive of IBM’s International Semiconductor Alliance, she was responsible for IBM’s advanced logic semiconductor technology development for the mobile and wireless application market. In 2010, she rejoined imec, in Belgium. As Executive Vice President, she was in charge of imec’s Semiconductor Technology & Systems division. Dr Steegen is a recognized leader in semiconductor R&D and an acclaimed and inspiring thought leader in innovation in the IoT and digitalization era. In 2018, Dr An Steegen joined Umicore as Chief Technology Officer, responsible for the company’s overall innovation strategy. She is in charge of Umicore’s R&D in the areas of clean mobility materials, recycling and sustainability and she is responsible for Umicore’s new business incubation in adjacent and new opportunity markets. She is also Executive Vice President of the Electro-Optical Materials and Metal Deposition Solutions business units .

Executive Forum
United Test and Assembly Center Ltd United Test and Assembly Center Ltd Attard, Alastair
Vertical Stacking of Controller IC on a Copper Clip Attached on MOSFET as a Space-saving Solution for High Current Switch e-fuse Applications
Attard, Alastair

Attard, Alastair
Sr. Technical Program Manager & Assembly Business Development
United Test and Assembly Center Ltd

Attard, Alastair

Abstract
Recently there has been an increasing demand for high-performance computing, mainly driven by data centers, online storage, cloud-based servers, and online software services. These applications require high computing power which drives high energy consumption, so the power systems employed need to run at extremely high efficiency and have small form factors, whilst offering very high reliability and minimal thermal losses during their deployment. This can be achieved by improving both the power semiconductor device technology, as well as the power packaging technology, such that maximum power performance and reliability can be extracted from the PCB area available.Power MOSFET technology has evolved to reduce switching losses and allow high frequency switching. Power modules have also been developed to integrate MOSFET dies together with a controller IC in a single package to offer a small form factor solution. From an interconnect perspective, copper clip bonding began to replace wire bonding technology due to the lower resistance and parasitic inductances it offers compared to wire bonding. Whereas most multi-die power module packages employ a side-by-side die configuration due to the wire bonding interconnect method, copper clip packages allow for vertical die stacking, which results in a smaller package for the same power rating.In this paper, we propose a QFN power module package solution for an electronic fuse (e-fuse) device in high-performance computing applications, comprised of a controller IC vertically stacked onto the copper clip used to create the interconnect between the MOSFET die and the lead frame. This approach provides a vertically integrated power module solution, offering a significantly reduced form factor versus a side-by-side power module approach or the use of two separate QFN packages for each die. Typical e-fuse applications need two separate QFN packages, for example, a 3mm x 3mm QFN for the controller IC and a 5mm x 6mm QFN for the MOSFET die, occupying a total of 39mm2 of PCB area. Comparatively, a vertically stacked power module can be packaged in a 5mm x 5mm QFN occupying a total PCB area of 25mm2, resulting in 36% less PCB area usage. The vertically stacked power module also offers excellent thermal performance despite the increased power density of the package. Thermal simulations performed using a 5mm x 6mm e-fuse package structure with 4.1W of combined power dissipation show that a Theta Ja of 25.3 oC/W and maximum temperatures of around 128.8oC for the IC and 123.9oC for the MOSFET are achieved under still air conditions.The assembly process flow will also be discussed in more detail, with focus on critical process steps such as vacuum reflow to ensure minimum voiding in the solder interconnects between MOSFET and lead frame, and copper clip and MOSFET. Examples of actual devices will also be shown. UTAC’s outlook on more advanced power modules will also be shared, showing proposals for packages with increased complexity using three dies and copper clips in a vertically stacked configuration for smart power stage applications with reduced footprint requirements.

Biography
Alastair Attard is Senior Technical Program Manager and Assembly Business Development at UTAC Group. He has a Bachelor’s degree in Mechanical Engineering and an Executive MBA from the University of Malta. He has over 14 years of experience in the assembly & test of semiconductor devices.Prior to joining UTAC, Alastair worked at STMicroelectronics Malta from 2006 until 2011, first as a Process Engineer on flip chip assembly for SiP and later as a Package Development Senior Engineer for SiP and MEMS packages. He later joined Besi in 2011, where he was Manager of the Process Development group until 2018. At UTAC, he is responsible for Technical Program Management and Assembly Business Development in the European region, with main focus on Automotive, Industrial, SiP, Power and MEMS areas.

Advanced Packaging Forum
UTAC Group UTAC Group Nelson, John
Transforming a Traditional Back-end Manufacturing Facility; Getting Ready for The Digital Decade
Nelson, John

Nelson, John
President & CEO
UTAC Group

Nelson, John

Abstract
Transforming a Traditional Back-end Manufacturing Facility; Getting Ready for The Digital Decade

Biography
John joined UTAC in October 2012 with more than 30 years of experience in the semiconductor industry.From 2007 to 2012, John was the Executive Vice President and Chief Operating Officer of ON Semiconductor. He oversaw the company’s world-wide manufacturing, quality, world-class supply chain and information technology operations. Under his leadership, the company doubled its revenues to US$3 billion. He successfully streamlined its global manufacturing operations which included the consolidation of numerous front-end and back-end facilities and the integration of nine corporate acquisitions which involved complicated broad-scope production transfers.From 2002 to 2007, John was the Chief Executive Officer of 1st Silicon, a wafer foundry in Malaysia. He successfully built up a diverse customer base and turned the business to breakeven. John was instrumental in selling the company to X-FAB Foundry.From 1990 to 2002, John served in several executive positions in General Instrument/General Semiconductor, including Chief Operations Officer and President of the company’s Asia-Pacific operations.John’s industry experience also includes key positions at Unitrode, Fairchild Semiconductor and Analog Devices.John has a Bachelor of Science degree with honours and a Ph.D. in Physics from the University of Ulster, Northern Ireland

Executive Forum
V To top
VisIC Technologies VisIC Technologies Smith, Kurt
Gallium Nitride Technology to Meet Automotive High Reliability Requirements
Smith, Kurt

Smith, Kurt

VisIC Technologies

Smith, Kurt

Abstract
The unique structure of wideband GaN devices creates a device with very high transport characteristics with high charge density in the channel that operate at high voltages. These characteristics allow the devices to operate at much higher frequencies and with fewer parasitics. Inherent in these unique characteristics is a flexibility in device design to allow robust operation and high performance. As shown in by the measured operational locus for VisICs D3GaN power devices, GaN devices operate at current levels well below the maximum current and at voltages well below the blocking (similar to breakdown) voltages. This paper will show the reason, necessity, and testing of these design conditions in creating a highly reliable device needed for automotive applications.Yet, all Gallium Nitride transistors are not the same. Even outside of the device design parameters necessary to establish a proper overhead, the intrinsic device should be chosen to best satisfy the needs of the application. There are 2 implementations of lateral GaN power devices: a normally on or depletion mode (D-mode) device and a normally-off or enhancement (E-mode) device. While each device has it’s advantages and disadvantages, understanding these tradeoffs are necessary to make a proper choice for the chosen application. The gate region is very different for these two implementations and plays a critical role in the potential reliability. D3GaN D-mode technology has a very robust gate structure as illustrated here. Additional characterization and testing will be shown to illustrate both the reliability and understanding of why VisIC’s D3GaN D-mode technology is the best choice to meet the high-reliability needs of the automotive sector.

Biography
Kurt has 18 years of experience in Gallium Nitride Reliability. He has worked in RF GaN with Raytheon supporting reliability analysis of high power RF amplifiers for radar and other high-frequency applications. More recently, Kurt was the Reliability Manager at Transphorm, working on high voltage power devices. He was responsible for reliability testing, analysis and degradation models to support both physical understanding of factors contributing to the reliability of devices and customer requests for specialized testing and understanding. Kurt is currently a member of the leadership team for the JEDEC J70 efforts to develop standards for GaN and SiC testing, datasheets and reliabilityKurt is a veteran of the USNavy, where he was a Nuclear Machinist Mate. He received his BS, MS, and Ph.D. at the University of California, San Diego

SMART Mobility Forum
Y To top
Yole Développement Yole Développement Cambou, Pierre
Embedded Computing the Next Paradigm Shift for Image Sensors
Cambou, Pierre

Cambou, Pierre
Principal Analyst
Yole Développement

Cambou, Pierre

Abstract
Imaging is an old industry which started in the 1830’s on copper plates, from still images it moved to motion picture in the 1890’s, the medium switched to organic film such as celluloid and then acetate. In the 1930’s tube based cameras allowed television as we know it and was the first step for electronic based imaging. In the 1970’s the transition to silicon based image sensors called CCDs allowed for mass adoption of electronic cameras. By the year 2000 digital photography and video took the world by storm. Since then a radical transformation of imaging applications and technology took place with Mobile applications and thanks to the CMOS image sensor technology. The world reached a peak in smartphones sales in 2017, nevertheless the sales of image sensors have grown 40% since then and the growth is expected to maintain for the 5 years to come. Two trends have contributed to this growth. The first one is the proliferation of cameras per phone and the second is the introduction of sensing cameras, mainly for biometric identification but also to help the photographic aspects such as bokeh. Innovation in imaging will not stop there and the next wave of innovation will come from artificial intelligence. While some of the innovation currently takes place either in the cloud or in the central APU, there is a trend to bring compute close to the sensor and actually embed significant amount of intelligence within or close to the sensor. In this presentation we will look at the reasons to do so and why it is important for the future of imaging. We will look at who are the players active in this new technology shift and for which kind of application.

Biography
Pierre Cambou MSc, MBA, is a Principal analyst in the Photonic and Display Division at Yole Développement (Yole). Pierre’s mission is dedicated to imaging related activities by providing market & technology analyses along with strategy consulting services to semiconductor companies. He is responsible for the CIS Quarterly Market Monitor while he has authored more than 15 Yole Market & Technology reports. Pierre has an Engineering degree from Université de Technologie de Compiègne (France) and a Master of Science from Virginia Tech. (VA, USA), Pierre also graduated with an MBA from Grenoble Ecole de Management (France).

MEMS & Imaging Sensors Forum
Yole Développement Yole Développement Clerc, Sebastien
Microfluidics market and technology trends
Clerc, Sebastien

Clerc, Sebastien
Technology & Market Analyst – Microfluidics, Sensing & Actuating
Yole Développement

Clerc, Sebastien

Abstract
In this presentation I provide an overview of the applications of microfluidic technologies along with market dynamics, then give an update about the role of microfluidics in COVID-19 diagnostics and finally explain the opportunities for semiconductor players in applications that increasingly use silicon, like DNA sequencing and point-of-care diagnostics.

Biography
Sébastien Clerc is a technology and market analyst in Microfluidics, Sensing & Actuating at Yole Développement (Yole). As part of the Photonics & Sensing team, Clerc has authored a collection of market and technology reports dedicated to microfluidics and other micro-devices for major market segments: medical (including diagnostics, pharmaceutical, biotechnology, drug delivery, medical devices) and industrial (including environment, agro-food). At the same time, he is involved in custom projects such as strategic marketing, technology scouting, and technology evaluation to help academic and industrial players in their innovation processes.Thanks to his technology and market expertise, Clerc has spoken in more than 20 industry conferences worldwide over the last four years. Clerc holds a master’s degree in Biomedical Technologies and a master’s degree in Innovation and Technology Management, both from Grenoble Institute of Technology (Grenoble INP - Grenoble, France).

SMART MedTech Forum
Z To top
ZIAN & Co industrial consulting and recruitment ZIAN & Co industrial consulting and recruitment Zimmer, Andreas C.
Skills in the Workforce and People in Processes
Zimmer, Andreas C.

Zimmer, Andreas C.
Executive Search & Selection Consultant
ZIAN & Co industrial consulting and recruitment

Zimmer, Andreas C.

Abstract
Optimizing people and teams for semiconductor fab manufacturing processes• Go away from vertical structures and organizations, move into horizontal organizations• Relocate responsibility to where it is sensible, i. e. to the front-office people handling the job currently at hand• Create specific, cross-functional teams to deal with specific tasks• Make sure the best prepared person will lead the team, not the most senior• Everybody should be aware of the fact that he/she might be asked to step down, if somebody else is better prepared to handle the job at hand• Stop penny-pinching!Strategies in attracting young professionals to the semiconductor industry• Go into schools and universities• Invite young “high potentials” into the company• Encourage external support by supporting your local school /university• Describe exactly why semi is attractive, but tell them: You’ll become addicted, once you’ve joined the branch!• Communicate, communicate, communicate!Discussions about critical new skills needed in the microelectronics workforce• Obviously, the willingness to follow up with the development and the requirements in new technologies and applications• Furthermore, the willingness “never stop learning”; open communication; flexibility, both horizontally and vertically; give orders and take orders; listen to people: colleagues, clients, suppliers; give and accept remuneration packages which will consider the performance of the company, of the team, and of yourselfTalks on transferring skills from leading experts to younger people and new hires as part of succession planning• Start implementing new ideas and visions into the educational, long-term plan of new hires and internal “high potentials”• Support external schooling, if necessary• Plan for enough time for classes “on the job”• Bring in retired people, who might be happy to lend a helping hand• Discuss the career path with employers at least once a year AND STICK TO IT!Business insights for anticipating future skills needs• Home office work• New communications• Faster decisions, locally, not at HQ• More responsibility delegated• More room for improvement suggestions in terms of organizational and decisional processes• Stop controlling, let the people do their job

Biography
Personnel and industrial consultant with 20+ years experience. Specialist for High-End technologies (LED, PV, semiconductor, electronics, test & measurement, etc.). Active throughout Europe. Customers in Europe, USA, Asia. Permanent visitor of leading exhibitions and conferences ww (INTERSOLAR [EU, USA, PRC], EU PV SEC, SEMICON, LIGHT&BUILDING, ELECTRONICA, PRODUCTRONICA). Excellent international peer-network (Web 2.0). Fluent in three languages, written and spoken. Experienced sales & project manager, coach, used to handle budgets and lead personnel. Customer, target and solution oriented. 10 years professional international industry experience in controlling, marketing, sales, change management, re-engineering. Team builder

Fab Management Forum (FMF)