3 | To top | |
3D-Micromac AG |
Neubert, Ronny
microDICE - TLS-Dicing Technology for Wafer Separation![]() Abstract Biography |
TechLounge |
3D Interaction Technologies GmbH |
Wojcik, Henry
Novel 3D real-time visualizations for industry![]() Abstract Biography |
Start-up pitches |
4 | To top | |
4D Technology |
Novak, Erik
In Situ, High Resolution 3D Metrology for Real-time Quality Control in Flexible Electronics![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
A | To top | |
Aalborg University |
Iannuzzo, Francesco
Modern robustness challenges in wind-scale power modules![]() Abstract Biography |
Power Electronics Conference |
ABB Semiconductors |
Kraxenberger, Manfred
![]() Biography |
19th Fab Managers Forum |
ABB Switzerland Ltd, Semiconductors |
Kopta, Arnost
Silicon based devices for demanding high power applications![]() Abstract Biography |
Power Electronics Conference |
AblePrint Technology Co.,Ltd (APT) |
Chih Horng Horrng, Auger
Best Cost Solution and Performance Improvements of Flip Chip Underfill by De-Void System![]() Abstract Biography |
Advanced Packaging Conference (APC) |
ADENSO GmbH |
Maas, Andreas
NEW Wafer Handling Solutions for high vacuum and glovebox applications.![]() Abstract Biography |
TechLounge |
Advantest Europe GmbH |
Ainslie, Stuart
![]() Biography |
17th European Manufacturing Test Conference (EMTC) |
Advantest Europe GmbH |
Mancosu, Adriano
Testing IoT devices, the next ATE challenge![]() Abstract Biography |
TechLounge |
Airrays GmbH |
Meyer, Peter
Smart basestation antenna for next generation mobile communication![]() Abstract Biography |
Start-up pitches |
AIXTRON SE |
Toennis, Andreas
![]() Biography |
Plastic Electronics Conference (PE2015) |
AIXTRON SE |
Kreis, Jürgen
COST-EFFICIENT OLED MANUFACTURING ENABLED THROUGH PROPRIETARY PROCESS TECHNOLOGIES OVPD® AND OPTACAP***![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
AMA Association for Sensors and Measurement |
Simmons, Thomas
Sensors for the Industrial IoT as an Opportunity for Electronics Manufacturers![]() Abstract Biography |
Market Briefing |
AMS-Asia |
Landicho, Lloyd Charles
An Intelligent Temperature Sensing Application Circuit in Eradicating Temperature Induced Variance on Performance Board Hemispheres![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
Analog Power Lab |
Cantagrel, Pierre
Expertise in Mixed-Signal ICs Testing![]() Abstract Biography |
Start-up pitches TechLounge |
Anvo-Systems Dresden GmbH |
Dahlheimer, Bernd
Smart Metering-Monitoring and Control![]() Abstract Biography |
TechLounge |
Applied Materials |
Kaizerman, Idan
Sampling Optimization For 450mm Wafers![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Applied Materials |
Naftali, Ron
Wafer Metrology in the 300 - 450 mm / sub 10 era![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Applied Materials GmbH |
Neuber, Andreas
Latest trends in subfab energy consumption reduction and emissions control![]() Abstract Biography |
Green manufacturing |
ASM International |
Sprey, Hessel
![]() Biography |
Emerging Materials and Processes |
ASM Microchemistry Ltd. |
Haukka, Suvi
Selective Deposition as Enabler for Shrinking Device Dimensions![]() Abstract Biography |
Emerging Materials and Processes |
ASML |
Jenkins, Peter
Lithography roadmap to enable cost effective shrink for future technology nodes![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
aSpect Systems |
Verhoeven, Marcus
Imager Testing, Solutions from Wafer Level Test up to Camera End of Line Testing![]() Abstract Biography |
Imaging Conference |
Atotech USA Inc |
Kim, Kwonil
A New Reliable Adhesion Enhancement Process for Directly Plating on Molding Compounds for Package level EMI Shielding![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Audi AG |
Abelein, Ulrich
Automotive Megatrends, Challenges and Solutions - an OEM Perspective![]() Abstract Biography |
Electronics for Automotive |
B | To top | |
Basler AG |
von Fintel, René
Good Reasons to go with CMOS based Camera Technology![]() Abstract Biography |
Imaging Conference |
Bestic AB |
Westerlund, Hanna
Innovation for independence![]() Abstract Biography |
Start-up pitches |
Biotechnology Institute / TU Dresden |
Otto, Oliver
REAL-TIME DEFORMABILITY CYTOMETRY: HIGH-THROUGHPUT CELL MECHANICAL PHENOTYPING![]() Abstract Biography |
MedTech |
Brandenburg Technical University of Cottbus-Senftenberg |
Stecklina, Oliver
Cyber-Physical-Production-Systems at the BTU Model Factory![]() Abstract Biography |
Industrie 4.0 |
C | To top | |
CALYTechnologies |
Brosselard, Pierre
Reliability of SiC Power Devices![]() Abstract Biography |
Power Electronics Conference |
Cambridge Display Technology Ltd (Company Number 02672530) |
Dartnell, Nick
Solution Processed Organic Transistors at Low Operating Voltage, Integrated Circuits and Organic Photodetectors![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Carl Zeiss SMT GmbH |
Heil, Tilmann
High-NA EUV Lithography Optics Enabling sub 9nm Resolution![]() Abstract Biography |
Lithography |
CEA |
Perichon, Pierre
The best ways to use the new GaN devices and technical challenges to solve![]() Abstract Biography |
Power Electronics Conference |
CEA |
Barbot, Anthony
Patterning of large-area & flexible polymer photovoltaic modules by laser ablation![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
CEA-LETI |
SIMOENS, François
Technological developments in infrared imaging : a fast growing market![]() Abstract Biography |
Imaging Conference |
CEA-LETI |
Servin, Isabelle
Challenges for the introduction of DSA lithography into manufacturing![]() Abstract Biography |
Lithography |
CEA-LETI |
Reita, Carlo
Technologies and architectures for low power data processing![]() Abstract Biography |
What´s next... |
CEA / Leti |
Mourey, Bruno
![]() Biography |
Imaging Conference |
CEA, Leti |
Thomas, Olivier
FD-SOI a new era for energy efficiency![]() Abstract Biography |
Low Power Conference |
CEA, Leti |
Verdant, Arnaud
Low power image sensors at CEA LETI : from mature to advanced process nodes![]() Abstract Biography |
Imaging Conference |
CEA, Leti |
Kopp, Christophe
Silicon photonic technology developments towards higher 2D and 3D integration level with microelectronics![]() Abstract Biography |
What´s next... |
CEA, LETI - Minatec |
Di Cioccio, Lea
![]() Biography |
Power Electronics Conference |
Chronocam |
Posch, Christoph
Scene-driven pixel-individually auto-sampling image sensors![]() Abstract Biography |
Imaging Conference |
CNES |
Bardoux, Alain
Towards the use of CMOS detectors for space applications![]() Abstract Biography |
Imaging Conference |
COMMISSARIAT A L'ENERGIE ATOMIQUE (CEA) |
Gavillet, Jerome
![]() Biography |
Plastic Electronics Conference (PE2015) |
Continental AG |
Punke, Martin
Camera systems for ADAS applications![]() Abstract Biography |
Imaging Conference |
CONVANIT GmbH & Co. KG |
Proehl, Steffen
How to run a successful MES selection in MedTech![]() Abstract Biography |
MedTech |
COVENTOR |
Clark, William
Behavioral modeling of cross-wafer chip-to-chip process induced non-uniformity![]() Abstract Biography |
TechLounge |
CPI |
Bird, David
Barriers to Progress![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
CRANN, Trinity College Dublin |
Duesberg, Georg
Prospects of Emerging 2D Transition Metal Films for Applications in Electronics![]() Abstract Biography |
Emerging Materials and Processes |
Cree, Inc. |
Casady, Jeffrey
Medium Voltage SiC Transistor Development at Cree in 2015![]() Abstract Biography |
Power Electronics Conference |
CRM group - AC&CS |
Guaino, Philippe
ORGANIC ELECTRONIC AND PRINTED SMART SYSTEMS ON STEEL![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
CSEM |
Gray, Simon
Ultra low power microelectronics for wearable and implanted medical devices![]() Abstract Biography |
Low Power Conference MedTech |
D | To top | |
Delft University of Technology |
Bertels, Koen
Quantum Computing : The Engineering Challenges![]() Abstract Biography |
What´s next... |
Design Automation Division EAS of Fraunhofer Institute for Integrated Circuits IIS |
Schneider, Peter
Fraunhofer Group for Microelectronics: The strategic core competence "RF and Communication Technologies"![]() Abstract Biography |
Fraunhofer Group for Microelectronics Session |
Deutsches Elektronen-Synchrotron (DESY) |
Sarajlic, Milija
Application of 3D integration technology to X-ray detector read-out chip![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Dipl.-Ing. (FH) Robert Brockmann |
Brockmann, Robert
Ultra Sniffer - New atmospheric leak detection method![]() Abstract Biography |
Start-up pitches |
Dresden Institute of Automobile Engineering |
Petersohn, Ronny
ENMOVER![]() Abstract Biography |
Electronics for Automotive |
DSP Valley |
Simkens, Peter
How Semiconductor Technology can contribute to Innovative Biomedical Systems![]() Abstract Biography |
MedTech |
E | To top | |
e2v |
Prevost, Vincent
CMOS imaging offers novel solutions to common application dilemmas![]() Abstract Biography |
Imaging Conference |
Easy Smart Grid GmbH |
Walter, Thomas
Easy Smart Grid - opportunities in energy system transformation![]() Abstract Biography |
Start-up pitches |
Ebara Precision Machinery GmbH |
Richter, Reinhart
![]() Biography |
17th European Manufacturing Test Conference (EMTC) |
Edwards Ltd |
Czerniak, Mike
Integrating critical sub-fab equipment into future adaptive maintenance methods.![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Edwards Ltd. |
Chambers, Andrew
Management of hazardous process tool exhausts in high-volume device manufacturing![]() Abstract Biography |
Green manufacturing |
ELES Semiconductor Equipment Spa |
Moriconi, Luca
A proposal for full test line automation at package level of SoC, under the very high test time paradigm![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
Encapsulix |
Kools, Jacques
Industrial High Throughput Atomic Layer Deposition Equipment and Process for OLED Encapsulation![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Enolyse d.o.o. |
Blazinsek, Martin
Wine fermentation without worries![]() Abstract Biography |
Start-up pitches |
Entegris GmbH |
Lundgren, Jorgen
The Green Contactless Horizontal Wafer Shipper Solution![]() Abstract Biography |
TechLounge |
EpiGaN nv |
Germain, Marianne
Large diameter GaN-on-Si epiwafers for Power Switching and RF Power electronics with enhanced efficiency![]() Abstract Biography |
Emerging Materials and Processes |
ETH Zurich |
Benini, Luca
Ultra-Low Power Computational Sensing: Challenges and Opportunities![]() Abstract Biography |
Low Power Conference |
European Chemicals Agency (ECHA) |
Di Bastiano, Augusto
REACH as an opportunity for Semiconductor Industry![]() Abstract Biography |
19th Fab Managers Forum |
European Commission |
Van Puymbroeck, Willy
EU Industrial Electronic Strategy - Where do we stand?![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
EV Group |
Uhrmann, Thomas
Trends in Device Encapsulation and Wafer Bonding![]() Abstract Biography |
TechLounge |
EXAGAN |
Letertre, Fabrice
200 mm G-FET GaN On Silicon power switch technology : a robust path to manufacturing![]() Abstract Biography |
Power Electronics Conference |
F | To top | |
Faculty of Electrical Engineering and Information Technology |
Schmidt, Heidemarie
Adaptive PolCarr#CHR:reg# carriers for novel applications in live science![]() Abstract Biography |
MedTech |
Fairchild Semiconductor |
Neyer, Thomas
![]() Biography |
Power Electronics Conference |
Fairchild Semiconductor |
Haaf, Peter
Solar Storage: New approaches for Bidirectional Chargers![]() Abstract Biography |
Power Electronics Conference |
Fastree3D SA |
Carrara, Lucio
Single-Photon detectors for real-time 3D-ToF imaging![]() Abstract Biography |
Imaging Conference |
Federal Office for Economic Affairs and Export Control (BAFA) |
Isken, Hardy
INVEST - Investment Grant For Venture Capital![]() Abstract Biography |
Start-up pitches |
Ferdinand-Braun-Institut Leibniz-Institut für Höchstfrequenztechnik |
Lobo-Ploch, Neysha
UVphotonics - Design, development and marketing of UV LED-Chips & packaged LEDs![]() Abstract Biography |
Start-up pitches |
First Sensor AG |
Krause, Peter
Individualized sensor production for industrial applications: expectations and options![]() Abstract Biography |
MEMS |
FlandersBio vzw |
Joos, Henk
Novel Health Solutions for patients and consumers![]() Abstract Biography |
MedTech |
FlexEnable Ltd. |
Milligan, Chuck
Low cost flexible displays and ubiquitous sensors for Wearables, Everywhere-ables and IoT![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
FlexTech Alliance |
Ciesinski, Mike
![]() Biography |
Plastic Electronics Conference (PE2015) |
FLIR |
Walters, Michael
Key Technology Trends and Emerging Applications for Compact Thermal Imagers![]() Abstract Biography |
Imaging Conference |
FotoNation Ltd. |
Zaharia, Corneliu
Alternative Hardware Architectures for Digital Imaging![]() Abstract Biography |
Imaging Conference |
Fraunhofer EMFT |
Wieland, Robert
Innovative and environmental friendly Fluorine F2 based cleaning process to replace C2F6, CF4 and NF3 as cleaning gas![]() Abstract Biography |
19th Fab Managers Forum |
Fraunhofer EMFT |
Richter, Martin
Cost efficient miniaturised silicon micropumps for medical applications![]() Abstract Biography |
MedTech |
Fraunhofer EMFT |
Landesberger, Christof
New processing scheme for embedding and interconnection of ultra-thin IC devices in flexible chip foil packages![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Fraunhofer ENAS |
Willert, Andreas
Tailoring Printed Primary Batteries for Various Kinds of Applications![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Fraunhofer ENAS |
Baum, Mario
Coating, encapsulation, and packaging technologies for smart integrated systems![]() Abstract Biography |
MedTech |
Fraunhofer ENAS |
Büker, Maik-Julian
WIRAplant WIReless Active imPLANTs![]() Abstract Biography |
MedTech |
Fraunhofer FEP |
Mogck, Stefan
OLED lighting using ultra-thin flexible glass![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Fraunhofer Group for Microelectronics |
Pelka, Joachim
Fraunhofer Group for Microelectronics Session in TechARENA 2 Technology - Application - Society: Bridging the Gap - Introduction![]() Abstract Biography |
Fraunhofer Demo Day Fraunhofer Group for Microelectronics Session |
Fraunhofer IAO |
Schraudner, Martina
Gender aspects in innovation practices: status and opportunities![]() Abstract Biography |
Innovation conference |
Fraunhofer IIS |
Spies, Peter
Integrated Voltage Converters in Energy Harvesting Applications![]() Abstract Biography |
Low Power Conference |
Fraunhofer IISB |
Pfeffer, Markus
![]() Biography |
Semiconductor Technology Conference (STC) |
Fraunhofer IISB |
Oechsner, Richard
SEEDs - intelligent use of energy in small and medium-sized companies![]() Abstract Biography |
Green manufacturing Fraunhofer Demo Day |
Fraunhofer IKTS |
Hentschel, Dieter
Energy Harvesting for Sensor-Near Electronics - Challenges and Solutions![]() Abstract Biography |
Low Power Conference |
Fraunhofer Institute for Applied Solid State Physics IAF |
Ambacher, Oliver
Fraunhofer Group for Microelectronics: The strategic core competence "Sensors and Sensor Systems"![]() Abstract Biography |
Fraunhofer Group for Microelectronics Session |
Fraunhofer Institute for Ceramic Technologies and Systems IKTS |
Zschech, Ehrenfried
Physical Failure Analysis at 3D Structure![]() Abstract Biography |
Fraunhofer Group for Microelectronics Session Fraunhofer Demo Day |
Fraunhofer Institute for Electronic Nano Systems |
Schulz, Stefan E.
A Cu based TSV technology for MEMS accelerometers![]() Abstract Biography |
MEMS |
Fraunhofer Institute for Electronic Nano Systems ENAS |
Hedayat, Christian
High-efficient modeling for very fast and precision analyses![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer Institute for Electronic Nano Systems ENAS |
Matthes, Patrick
GMR based 2D magnetic field sensors![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer Institute for Integrated Systems and Device Technology IISB |
Hilpert, Florian
Mechatronic Powerstage design of the COSIVU Drivetrain with parallelized SiC BJT Half-Bridge Modules and Drivers![]() Abstract Biography |
Power Electronics Conference |
Fraunhofer Institute for Integrated Systems and Device Technology IISB |
Frey, Lothar
Fraunhofer Group for Microelectronics: The strategic core competence "Power Electronics and System Technologies for Energy Supply"![]() Abstract Biography |
Fraunhofer Group for Microelectronics Session |
Fraunhofer Institute for Integrated Systems and Device Technology IISB |
Heckel, Thomas
Bearing with integrated Energy and Data Transmission![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer Institute for Microelectronic Circuits and Systems IMS |
Dreiner, Stefan
High Temperature 0.35µm SOI CMOS Process (250°C and beyond)![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology |
Fahlteich, John
Metering Encapsulation and Barriers: A Key Challenge for Market and Technology Development![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma technology FEP |
Jahnel, Matthias
Integration of polymer organic photodiodes on complementary metal-oxide-semiconductor (CMOS) backplanes for bio and medical applications![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Fraunhofer Institute for Photonic Microsystems IPMS |
Lakner, Hubert
Fraunhofer Group for Microelectronics: The strategic core competence "Semiconductor-based technologies"![]() Abstract Biography |
Fraunhofer Group for Microelectronics Session |
Fraunhofer Institute for Photonic Microsystems IPMS |
Seidel, Konrad
Ultra-thin capacitors for enabling miniaturized IoT applications![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer Institute for Photonic Microsystems IPMS |
Amelung, Jörg
Advanced Sensor Technologies![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer Institute for Reliability and Microintegration IZM |
Lang, Klaus-Dieter
Fraunhofer Group for Microelectronics: The strategic core competence "System Integration Technologies"![]() Abstract Biography |
Fraunhofer Group for Microelectronics Session |
Fraunhofer Institute for Reliability and Microintegration IZM |
Töpper, Michael
Integrated Micro Camera Devices![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer Institute for Reliability and Microintegration IZM |
Wolf, M. Jürgen
3D Wafer Level System Integration![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer Instiute for Integrated Circuits IIS |
Milosiu, Heinrich
Energy-independent asset tracking system for logistic applications![]() Abstract Biography |
Fraunhofer Demo Day |
Fraunhofer IPA |
Seidelmann, Joachim
Technical Visions of Industry 4.0![]() Abstract Biography |
Industrie 4.0 |
Fraunhofer IPMS |
Müller, Johannes
Ferroelectric Hafnium Oxide: Material Innovation for Ferroelectric Memories![]() Abstract Biography |
Low Power Conference |
Fraunhofer IZM |
Boettcher, Mathias
Excimer Laser patterning for high density interposer and substrate fabrication![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Fraunhofer IZM |
Toepper, Michael
Integration Technologies for Image Sensors![]() Abstract Biography |
Lithography Imaging Conference |
Fraunhofer Research Institution for Microsystems and Solid State Technologies EMFT |
Richter, Martin
Cost efficient miniaturised silicon micropumps![]() Abstract Biography |
Fraunhofer Demo Day |
Frontier Semiconductor |
Aiyer, Arun
Massively Parallel 3D Inspection thru Metrology of µFeatures in 3D Packaging![]() Abstract Biography |
Advanced Packaging Conference (APC) |
FRT GmbH |
Marheineke, Bastian
3D IC? 3D Metrology!![]() Abstract Biography |
TechLounge |
Fujifilm Electronics Materials |
Vloeberghs, Hans
EHS Challenges, Opportunities and Implementation Strategies![]() Abstract Biography |
19th Fab Managers Forum |
G | To top | |
Galaxy Semiconductor |
Leblond, Nicolas
The Quest for Quality and Yield Improvement for High Speed Serial Interfaces in Vehicles![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
GE Intelligent Platforms GmbH |
Schulz, Thomas
Organization and Goals of the Industry 4.0 Platform![]() Abstract Biography |
Industrie 4.0 |
Global Foundries |
Harame, David
28/22nm RF Technology status and future roadmap![]() Abstract Biography |
Low Power Conference |
GLOBALFOUNDRIES |
Wijburg, Rutger
Investing in Europe![]() Abstract Biography |
Low Power Conference Keynote speaches |
GLOBALFOUNDRIES |
Kuehn, Ingo
InterfaceA: Candidate for Industry 4.0? Adoption and Challenges in Semiconductor Industry![]() Abstract Biography |
Industrie 4.0 |
GLOBALFOUNDRIES |
Wiatr, Maciej
22nm FDSOI Technology & Devices for Energy-Efficient Applications![]() Abstract Biography |
Low Power Conference |
GLOBALFOUNDRIES Dresden |
Bonkass, Matthias
Silicon, Interconnect, Packaging and Test Challenges from a Foundry Viewpoint![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
GLOBALFOUNDRIES Dresden Module One Limited Liability Company & Co. KG |
Puelm, Stephan
Fully Automated Vendor Lot Start![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
greateyes GmbH |
Regehly, Martin
An Integrated Electroluminescence, Photoluminescence and Thermography Inspection Tool for Wafer and Semiconductor Characterisation![]() Abstract Biography |
Start-up pitches |
H | To top | |
Hager Security |
VIVANCOS, Philippe
Efficient low power architectures design for wireless Security systems - Intrusion Alarms![]() Abstract Biography |
Low Power Conference |
Hahn-Schickard |
Zimmermann, André
![]() Biography |
Plastic Electronics Conference (PE2015) |
HAP GmbH Dresden |
Stegemann, Burkhard
HERO unchained - Modular AGV for multiple handling applications![]() Abstract Biography |
TechLounge |
Harvest Imaging |
Theuwissen, Albert
Highlights of the International Image Sensor Workshop 2015![]() Abstract Biography |
Imaging Conference |
Heliatek GmbH |
Bickl, Thomas
Organic solar films - a novel and truly urban-fit source of energy![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Helmholtz-Zentrum Dresden - Rossendorf |
Deac, Alina
Spin-based nanoelectronic devices for mobile Informaion-Communication Technology![]() Abstract Biography |
Emerging Materials and Processes |
Heptagon Advanced MicroOptics |
Rossi, Markus
Micro-technologies for 3-D depth sensing systems![]() Abstract Biography |
Imaging Conference |
Heraeus Deutschland GmbH & Co. KG |
Senthil Kumar, Balasubramanian
Intermetallic Coverage (IMC) in Cu and Ag Ball Bond![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Holst Centre / TNO |
Giesbers, Merijn P.
Photonic processes in printed electronics![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Holst Centre / TNO |
Koetse, Marc
Hybrid printed electronics: 2D manufacturing for freeform 3D application![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
HSEB Dresden GmbH |
Srocka, Bernd
FD-SOI film thickness metrology tool![]() Abstract Biography |
TechLounge |
I | To top | |
IBS, Inc. |
Jones, Handel
China Opportunities and Challenges![]() Abstract Biography |
Keynote speaches |
ID Quantique |
Sanguinetti, Bruno
Quantum random number generator using a mobile phone's CMOS camera![]() Abstract Biography |
Imaging Conference |
IHM, TU Dresden |
Charania, Sujay
Development of ultra high speed on-chip Optical Interconnects by state of the art Si etching process and Nano Imprint Lithography![]() Abstract Biography |
Advanced Packaging Conference (APC) |
imec |
Delabie, Annelies
Monolayer controlled deposition of 2D transition metal dichalcogenides on large area substrates![]() Abstract Biography |
Emerging Materials and Processes |
imec |
Beyne, Eric
![]() Biography |
Advanced Packaging Conference (APC) |
imec |
Lauwers, Lode
IOT driven innovation and 'smart' R&D flows in imec's nanoelectronics platform![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
imec |
Wilson, Christopher
Comparison of EUV single exposure vs 193i multiple pattering for N10 BEOL![]() Abstract Biography |
Lithography |
imec |
Christie, Phillip
A big data analysis of IoT trends in Europe![]() Abstract Biography |
Innovation conference |
Infineon |
Ploss, Reinhart
Semiconductors as a key enabler for the transition of the automotive industry![]() Abstract Biography |
19th Fab Managers Forum |
Infineon Dresden Technologies GmbH |
Werner, Sebastian
Fab performance increase by using solver solutions![]() Abstract Biography |
19th Fab Managers Forum |
Infineon Technologies |
Schulz, Martin
Efficient Energy Conversion using Power Electronics![]() Abstract Biography |
Power Electronics Conference |
Infineon Technologies AG |
Spaenkuch, Juergen
The Right Security for the Internet of Things![]() Abstract Biography |
Industrie 4.0 |
Infineon Technologies AG |
Steurich, Bjoern
Automotive multi-core architectures in the tension field of autonomy and hybridization![]() Abstract Biography |
Low Power Conference |
Infineon Technologies AG |
Vock, Stefan
![]() Biography |
17th European Manufacturing Test Conference (EMTC) |
Infineon Technologies AG |
Gutheit, Tim
Future requirements on semiconductors as enablers for automotive innovation![]() Abstract Biography |
Electronics for Automotive |
Infineon Technologies Austria AG |
Engelhardt, Manfred
Enhanced Equipment and New Processes as Enabler for Power Technologies on 300mm Substrates![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Infineon Technologies Austria AG |
Pairitsch, Herbert
ECSEL-project PowerBase![]() Abstract Biography |
Power Electronics Conference |
Infineon Technologies Dresden |
Kautzsch, Thoralf
3D Structuring Techniques as Enablers for New MEMS-based Devices![]() Abstract Biography |
MEMS |
Infineon Technologies Dresden GmbH |
Pyper, Oliver
![]() Biography |
Power Electronics Conference Electronics for Automotive |
Infineon Technology AG |
Roemer, Bernd
Innovative Interconnects in System in Package Drive Application![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Institute for Semiconductor Physics |
Grytsenko, Kostyantyn
Vacuum-deposited fluoropolymer films for organic electronics![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Intel |
O'Shea, Rod
Internet of Things - the next 50 years of Moore's Law![]() Abstract Biography |
Keynote speaches |
Intel Corporation |
Moynagh, Philip
Wearable electronics![]() Abstract Biography |
What´s next... |
Intel Ireland |
MacGearailt, Niall
Opportunities and challenges for the using big data analytics in factories of the future![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Intel Ireland Ltd |
Capraro, Bernie
Driving Sustainability in a Global Semiconductor Manufacturing Operations![]() Abstract Biography |
Semiconductor Technology Conference (STC) Green manufacturing |
InVisage Technologies Inc. |
Lacombe, Remi
InVisage, the camera in a whole new light![]() Abstract Biography |
Imaging Conference |
IPDIA |
Murray, Franck
Embedded passives on low profile Silicon substrate technology for Medical implants, Wearables and Connected Objects![]() Abstract Biography |
MedTech |
ISAE |
MAGNAN, Pierre
New perspectives for CMOS image sensors in harsh radiative environments![]() Abstract Biography |
Imaging Conference |
J | To top | |
JEM Europe |
Mai, Joe
![]() Biography |
17th European Manufacturing Test Conference (EMTC) |
JenaBatteries GmbH |
Schneider, Steffen
JenaBatteries - Novel Metal Free Aqueous Redox Flow Batteries![]() Abstract Biography |
Start-up pitches |
Jordan Valley Semiconductor |
Atrash, Fouad
Analysis and In-Line Monitoring of Non-Visual Crystalline Defects (cNVDs) in Silicon Wafers to Identify Wafers at Risk from breakage![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
K | To top | |
Kalray |
Kalemkarian, Yann
Computer vision on manycore processor![]() Abstract Biography |
Imaging Conference |
KIT |
Wöll, Christof
MOFs as Low-k Candidates for Future Technology Nodes![]() Abstract Biography |
Emerging Materials and Processes |
L | To top | |
Lab for Thin Films, Nanosystems & Nanometrology (LTFN), Department of Physics, Aristotle University of Thessaloniki |
Laskarakis, Argiris
In-line Spectroscopic Ellipsometry and Raman Spectroscopy as powerful quality control tools for roll-to-roll manufacturing of Organic Electronics![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Lab for Thin Films, Nanosystems & Nanometrology (LTFN), Department of Physics, Aristotle University of Thessaloniki |
Logothetidis, Stergios
Ultra-fast laser patterning for roll-to-roll manufacturing of organic photovoltaics onto flexible substrates![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Leti |
Pain, Laurent
![]() Biography |
Lithography |
LFoundry |
Martorelli, Riccardo
![]() Biography |
19th Fab Managers Forum |
Linde AG |
Cigal, Jean-Charles
Advanced Semiconductor Manufacturing Fabs: A View from a Gas and Material Supplier![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Linguwerk GmbH |
Petrick, Rico
Speech and Gesture -- Futuristic Human Machine Interfaces![]() Abstract Biography |
TechLounge |
Luxexcel |
Tierie, Eric
3D printed optics![]() Abstract Biography |
Imaging Conference |
M | To top | |
Materials Design s.a.r.l. |
Eyert, Volker
Atomistic Simulations for the Design, Fabrication, and Reliability of Semiconductor Devices![]() Abstract Biography |
TechLounge |
Melexis |
Gouwy, Geert
Multi-site Probing of Magnetic Sensors at 175 deg C![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
memsstar Limited |
Connock, Peter
![]() Biography |
SEA session |
memsstar Ltd |
McKie, Tony
Supporting the Secondary Market - Matching Products & Services to Changing Customer Needs![]() Abstract Biography |
SEA session |
Merck Chemicals Ltd |
James, Mark
High Performance Organic Semiconductor Manufacturing Using Printing and Photolithographic Processes![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Metro450 |
Shoval, Menachem
![]() Biography |
Semiconductor Technology Conference (STC) |
Microsoft |
Yahav, Giora
Depth imaging the engine of the renaissance of Virtual Reality![]() Abstract Biography |
Imaging Conference |
Mind-Objects |
Brade, Marius
Mind-Objects - Visual Requirements Engineering![]() Abstract Biography |
Start-up pitches |
MSG Lithoglas GmbH |
Hansen, Ulli
Deposition of hermetic glass thin films for Opto and MEMS![]() Abstract Biography |
TechLounge |
N | To top | |
NaMLab gGmbH |
Wachowiak, Andre
GaN Transistors for Power Electronics![]() Abstract Biography |
Power Electronics Conference |
NaMLab Gmbh / TU Dresden |
Mikolajick, Thomas
Next Generation Ferroelectric Field Effect Transistors enabled by Ferroelectric Hafnium Oxide![]() Abstract Biography |
Low Power Conference Emerging Materials and Processes |
NANIUM S.A |
Miguel Amorim Barbosa, Hugo
Testing solder ball alloy materials for reliability improvement in eWLB technology![]() Abstract Biography |
Advanced Packaging Conference (APC) |
NANIUM S.A. - Niederlassung Dresden |
Kroehnert, Steffen
![]() Biography |
Advanced Packaging Conference (APC) |
Nano-Join |
Röhrich, Tobias
Nano-Join![]() Abstract Biography |
Start-up pitches |
Nanoelec Advanced Characterisation Platform |
Capria, Ennio
Non-destructive high-resolution 3D imaging for next generation packaging![]() Abstract Biography |
TechLounge |
NANOMOTION |
Karasikov, Nir
Wafer Handling Challenges![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Nanotech SWHL Gmbh |
Rakhovskiy, Vadim
Sub-wavelength Holographic Lithography![]() Abstract Biography |
Start-up pitches |
Nova Measuring Instruments |
Wolfling, Shay
Dimensional & Material metrology to meet industry growing needs![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Novati Technologies |
Wetzel, Jeff
How More-Than-Moore technologies impact every day applications![]() Abstract Biography |
Start-up pitches |
NXP |
Kamphuis, Tonny
New method for reticle lay out, enabling singulation quality improvement and minimum saw lane![]() Abstract Biography |
Advanced Packaging Conference (APC) |
O | To top | |
ON Semiconductor |
Van den Branden, Gerd
PISTON: a production platform for high-end imagers![]() Abstract Biography |
Imaging Conference |
optimiSE |
Karner, Gunther
Small is Beautiful - Time-to-Market Estimates with 'Smart' Data Analyses![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
OSRAM OLED GmbH |
Fleißner, Arne
Status and Prospects of OLED Lighting Technology![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Osram Opto Semiconductors |
Galesic, Ivan
Chip Interconnect in LED Packages - Methods and Materials![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Oxford Instruments |
Cooke, Mike
450mm plasma etch module![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Oxford Lasers Ltd |
Karnakis, Dimitris
Review of digital laser microfabrication for flexible electronics manufacturing![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
P | To top | |
PacTech |
Oppert, Thomas
![]() Biography |
Advanced Packaging Conference (APC) |
Panasonic Automotive & Industrial Sales Europe GmbH |
Windemuth, Reinhard
Plasma Cleaning Application![]() Abstract Biography |
Semiconductor Technology Conference (STC) Advanced Packaging Conference (APC) TechLounge |
PandA Europe |
Longford, Andy
![]() Biography |
Advanced Packaging Conference (APC) |
Panono GmbH |
Pfeil, Jonas
Panono Panoramic Ball Camera![]() Abstract Biography |
Imaging Conference |
Parrot |
Pochon, Benoit
Embedded cameras on consumer and professional drones![]() Abstract Biography |
Imaging Conference |
PEER Group GmbH |
Arnold, Michael
![]() Biography |
Industrie 4.0 |
PerkinElmer Medical Imaging |
Bullard, Edward
Wafer-scale CMOS image sensors for fast, low dose X-ray imaging![]() Abstract Biography |
Imaging Conference |
Pfeiffer Vacuum |
Colin, Patrick
Next step in electrical power consumption reduction.![]() Abstract Biography |
Green manufacturing |
Pfeiffer Vacuum |
Sälzer, Daniel
Solutions for the Semiconductor Market![]() Abstract Biography |
TechLounge |
Philips Research |
Dekker, Ronald
From Chips in Organs to Organs-on-Chip![]() Abstract Biography |
MedTech |
PlasmaTherm |
Pilloux, Yannick
Key challenges of DRIE Technology for MEMS devices![]() Abstract Biography |
TechLounge |
Plastic Logic Germany |
Trovarelli, Octavio
Challenges and Opportunities in the Manufacturing of Large-Area Organic Robust Imager for X-Ray Sensing (LORIX)![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
pmdtechnologies gmbh |
Mierau, Wolfgang
![]() Biography |
Imaging Conference |
pmdtechnologies gmbh |
Buxbaum, Bernd
3D Time-of-Flight Image Sensor Solutions for Mobile Devices![]() Abstract Biography |
Imaging Conference |
Polygon Physics |
Sortais, Pascal
Multi Beam Sputtering: the vulgarization of ion beam deposition of thin films![]() Abstract Biography |
Start-up pitches |
PragmatIC |
Cobb, Brian
Flexible integrated circuits: Moving beyond Silicon![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
ProNT |
Bezugly, Viktor
Production of Carbon NanoTubes with defined electronic properties for innovative applications in microelectronics![]() Abstract Biography |
Start-up pitches |
Pyxalis |
Dupont, Benoit
Digital integration: a path to lower system cost in imaging systems![]() Abstract Biography |
Imaging Conference |
R | To top | |
Raytrix GmbH |
Perwass, Christian
3D Light Field Cameras for Machine Vision![]() Abstract Biography |
Imaging Conference |
RECIF Technologies |
JARRE, ALAIN
450mm module readiness and direct benefit for 300mm yield improvements![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Red Belt SA |
Jaffard, Jean-Luc
![]() Biography |
Imaging Conference |
ReSeCo |
Segers, Rene
![]() Biography |
17th European Manufacturing Test Conference (EMTC) |
Robert Bosch GmbH |
Koyuncu, Metin
![]() Biography |
Plastic Electronics Conference (PE2015) |
Robert Bosch GmbH |
Schaller, Andreas
Opportunities for additive manufacturing and printed electronic within Robert Bosch![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Robert Bosch GmbH |
Thienel, Christoph
Electrical Overstress (EOS) of Semiconductors (SC) in Automotive Applications, Root Causes, and Conclusions![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
Robert Bosch GmbH |
Schuler, Thomas
Connecting things and services. How Industrie4.0 increases the benefit of automation at the Bosch 200mm-Waferfab![]() Abstract Biography |
Industrie 4.0 |
Robert Bosch GmbH |
Kramer, Torsten
Automotive MEMS Sensors - Overview & future Trends![]() Abstract Biography |
Electronics for Automotive |
Robert Bosch GmbH |
Moll, Reiner
Managing Variability in Complex Production Systems![]() Abstract Biography |
19th Fab Managers Forum |
Roth & Rau - Ortner GmbH |
Hantzschmann, Karli
Opportunities and Challenges Using Self-Navigating Systems in Semiconductor Fabs![]() Abstract Biography |
TechLounge |
S | To top | |
Salland Engineering (Europe) BV |
van Ulsen, Paul
![]() Biography |
17th European Manufacturing Test Conference (EMTC) |
SCREEN SPE Germany GmbH |
Goeke, Mark
Next Generation Track Processes for EUVL, DSA, NIL, E-Beam![]() Abstract Biography |
Lithography |
SEMI |
Tseng, Clark
SEMI Fab Investment and Secondary Equipment Market update![]() Abstract Biography |
Market Briefing SEA session |
Semi Consulting |
van Nooten, Bas
![]() Biography |
Semiconductor Technology Conference (STC) |
SEMI Europe |
Altimime, Laith
![]() Biography |
19th Fab Managers Forum |
SEMI Europe |
Georgoutsakou, Rania
![]() Biography |
Keynote speaches |
SEMI Russia |
Suvorov, Evgeny
![]() Biography |
MedTech |
SGS INSTITUT FRESENIUS GmbH |
Dallmann, Gerald
Challenges in failure analysis of power and automotive microelectronics![]() Abstract Biography |
Power Electronics Conference |
Siconnex |
Klaushofer, Thomas
Combine Stacked Metal Etch and Photo Resist Strip into a fully integrated efficient solution![]() Abstract Biography |
TechLounge |
Siemens Product Lifecycle Management Software Inc. |
Labots, Sjaak
The Role of MES in Industry 4.0![]() Abstract Biography |
TechLounge |
Silex Micorsystems |
Liljeholm, Jessica
3D MEMS WAFER LEVEL PACKAGING USING TSVs & TGVs![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Siliconware Precision Industries Co., Ltd. (SPIL) |
Lan, Albert
![]() Biography |
Advanced Packaging Conference (APC) |
Siltectra GmbH |
Drescher, Wolfram
COLD SPLIT - a laser sabor for crystal it is![]() Abstract Biography |
Start-up pitches |
SmartMembranes GmbH |
Lelonek, Monika
Nano and macro porous membranes à la carte![]() Abstract Biography |
Start-up pitches |
SOITEC |
Boudre, Paul
How innovation and eco-system in Europe can enable future requirements in automotive electronics![]() Abstract Biography |
Innovation conference |
SOITEC |
Piliszczuk, Thomas
Engineered substrates for low-power IoT devices![]() Abstract Biography |
Low Power Conference |
Sovtest Micro |
Krekoten, Theodore
Sovtest Micro LLC![]() Abstract Biography |
Start-up pitches |
SPEKTRA Schwingungstechnik und Akustik GmbH Dresden |
Brucke, Martin
Architecture of an Efficient MEMS Final Test System![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
SPIL |
Tsai, Jensen
A New Alternative Low Cost Package Solution for High Bandwidth Memory PoP![]() Abstract Biography |
Advanced Packaging Conference (APC) |
ST Microelectronics |
PORTELLI-HALE, Chris
![]() Biography |
17th European Manufacturing Test Conference (EMTC) |
STFC |
Turchetta, Renato
Kirana: millions of frames per second with a megapixel CMOS image sensor![]() Abstract Biography |
Imaging Conference |
STMicroelectronics |
Abouzeid, Fady
Energy Efficiency Design Rigs for the IoT Ragbag![]() Abstract Biography |
Low Power Conference |
STMicroelectronics |
Le Pailleur, Laurent
![]() Biography |
Low Power Conference |
STMicroelectronics |
Grant, Lindsay
![]() Biography |
Imaging Conference |
STMicroelectronics |
Raynor, Jeff
Voltage-Domain BSI Global Shutter Pixels![]() Abstract Biography |
Imaging Conference |
STMicroelectronics |
Sapienza, Massimo
Probe Card Identification via RFID![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
STMicroelectronics |
Haond, Michel
Why is fully depleted SOI best for ultra-low power?![]() Abstract Biography |
Low Power Conference |
STMicroelectronics |
Letor, Romeo
History and trend of Power electronics in automotive body systems![]() Abstract Biography |
Power Electronics Conference |
STMicroelectronics |
Decroix, Daniel
Deployment of Human Performance in High Volume Semiconductor Manufacturing : The next step in Improving Manufacturing Excellence![]() Abstract Biography |
19th Fab Managers Forum |
STMicroelectronics |
Bignell, Gareth
The "Internet of Things"- Opportunities for the Secondary Equipment Market![]() Abstract Biography |
SEA session |
SUNY Polytechnic Institute |
Farrar, Paul
How G450C Activities are Driving Productivity in the Industry![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
SUNY Polytechnic Institute |
Liehr, Michael
Where Might Future Semiconductor Productivity Enhancements Come From?![]() Abstract Biography |
Semiconductor Technology Conference (STC) |
Swagelok Co. |
Bruettinger, Moritz
Springless Diaphragm Valves with Replaceable-Seat from Swagelok®![]() Abstract Biography |
TechLounge |
SYSTEMA GmbH |
Schaaf, Andre
Wafer Signature Detection - Automatic Defect Recognition and Classification![]() Abstract Biography |
TechLounge |
T | To top | |
Technische Universität Dresden |
Ellinger, Frank
Energy-efficient Analog and RF Circuits and Systems for Communications![]() Abstract Biography |
Low Power Conference |
Technische Universität Dresden |
Filsecker, Felipe
Bipolar SiC diodes for high-power medium-voltage drives![]() Abstract Biography |
Power Electronics Conference |
Technische Universität Dresden |
Aßmann, Uwe
Big Data in Cyber-Physical Systems (CPS)![]() Abstract Biography |
What´s next... |
Teiimo |
Strecker, Markus
![]() Biography |
Plastic Electronics Conference (PE2015) |
Teledyne DALSA Professional Imaging |
Bosiers, Jan
Challenges and opportunities for wafer-scale CCD and CMOS image sensors![]() Abstract Biography |
Imaging Conference |
Texas Instruments Deutschland Gmbh |
Schiessl, Uwe
Presto! A test element driven test program Generator for Test Probe![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
Texas Instruments Germany |
Schulz, Ulrich
MOOI, a method to maximize the output of installed Fab capacity without additional investment![]() Abstract Biography |
19th Fab Managers Forum |
The Ferroelectric Memory Company (i.G.) |
Müller, Stefan
The Ferroelectric Memory Company: Bringing Ferroelectrics to Fabs![]() Abstract Biography |
Start-up pitches |
Tokyo Electron |
Shekel, Eyal
An OEM's view of the secondary system's market.![]() Abstract Biography |
SEA session |
Topsil Semiconductor Materials A/S |
Jensen, Leif
Silicon for Power Electronic and Detector application![]() Abstract Biography |
Power Electronics Conference |
TowerJazz |
Strum, Avi
Specialty CIS process in a foundry environment![]() Abstract Biography |
Imaging Conference |
Tronics Microsystems Sa |
Gaff, Vincent
Agile value chain for medium volumes custom MEMS manufacturing, packaging and integration.![]() Abstract Biography |
MEMS |
TU Berlin |
Röhrich, Tobias
Pressureless Silver Sintering at Temperatures below 250 °C for large-area copper-to-copper bonds![]() Abstract Biography |
Advanced Packaging Conference (APC) |
TU Delft |
Graef, Mart
![]() Biography |
What´s next... |
TU Delft |
Charbon, Edoardo
SPAD Image Sensors in All-Digital Imaging![]() Abstract Biography |
Imaging Conference |
TU Dresden |
Gueldner, Henry
![]() Biography |
Power Electronics Conference |
TU Dresden |
Bock, Karlheinz
![]() Biography |
Plastic Electronics Conference (PE2015) |
TU Dresden / Institute of Traffic Telematics |
Michler, Oliver
Energy Efficient Communication and Localization in Urban Traffic Environments using WSN-based Devices![]() Abstract Biography |
Electronics for Automotive |
U | To top | |
Univ. of Cambridge / EPSRC Centre for Innovative Manufacturing in Large-Area Electronics |
Occhipinti, Luigi
![]() Biography |
Plastic Electronics Conference (PE2015) |
Universita' dell'Insubria |
Caccia, Massimo
SILICON PARTICLE DETECTORS: FROM THE DAWN OF THE UNIVERSE TO BIOMEDICAL APPLICATIONS (AND BEYOND...)![]() Abstract Biography |
Imaging Conference |
Universitätsklinikum Carl Gustav Carus |
Ritter, Philipp
Human-centric lighting - requirements and opportunities for today's micro-(power)electronics!?![]() Abstract Biography |
Power Electronics Conference |
University of Eastern Finland |
Saarinen, Jyrki
Novel optical materials: answer for various market requirements![]() Abstract Biography |
Imaging Conference |
University of Oxford |
Kim, Jong Min
Convergenece of Nanoetchnologies for the Ambient Living Applications![]() Abstract Biography |
What´s next... |
V | To top | |
VAT Vakuumventile AG |
Pschenitschnigg, Ronald
Customized vacuum valve solutions bring used equipment to the next performance level![]() Abstract Biography |
SEA session |
Veeco Instruments |
Joshi, Somit
GaN-Si MOCVD advancements: Accelerating WBG materials adoption in new Power Electronics applications![]() Abstract Biography |
Power Electronics Conference |
Vodafone Enterprise Plenums |
Kremling, Hartmut
Innovation@Vodafone: 5G&Internet of Things![]() Abstract Biography |
Innovation conference |
VTT Technical Research Centre |
Kaisto, Ilkka
Commercialization of Printed Electronics looks for ecosystems - case Printocent![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
X | To top | |
X-Celeprint Inc. |
Bower, Christopher
Unlocking opportunities for inorganic semiconductors with micro assembly: new form factors, new cost structures, new applications![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
X-FAB MEMS Foundry |
Rutherford, Ian
The Importance of Through Silicon Vias for Next Generation CMOS and MEMS Processes![]() Abstract Biography |
MEMS |
X-FAB MEMS Foundry GmbH |
Schwarz, Uwe
The Importance of Through Silicon Vias for Next Generation CMOS and MEMS Processes![]() Abstract Biography |
MEMS |
Xcerra |
Cockburn, Peter
![]() Biography |
17th European Manufacturing Test Conference (EMTC) |
Xcerra |
Nagy, Andreas
Final Test Solution of WLCSP![]() Abstract Biography |
17th European Manufacturing Test Conference (EMTC) |
Y | To top | |
Yamagata University |
Furukawa, Tadahiro
New Transfer Method for Flexible Display Fabricating![]() Abstract Biography |
Plastic Electronics Conference (PE2015) |
Yole Developpement |
Beica, Rozalia
The Growth of Advanced Packaging: An Overview of Technologies, Applications and Market Trends![]() Abstract Biography |
Advanced Packaging Conference (APC) |
Yole Developpement |
Cambou, Pierre
![]() Biography |
Imaging Conference |
Yole Developpement |
Azemar, Jerome
Internet of Things: View on market and technology trends![]() Abstract Biography |
Low Power Conference |
Yole Developpement |
Gueguen, Pierric
Market and technology trends in Wide Band Gap materials for Power Electronics![]() Abstract Biography |
Power Electronics Conference |
Yole Developpement |
Azemar, Jérôme
Power Electronics Overview: What are the markets and trends?![]() Abstract Biography |
Market Briefing |
Yole Developpement |
Troadec, Claire
Wearable : an early Internet of Things application for MEMS !![]() Abstract Biography |
MEMS |
Yole Développment |
Eloy, Jean-Christophe
![]() Biography |
Advanced Packaging Conference (APC) |
Z | To top | |
znt Zentren für Neue Technologien GmbH |
Marsoner, Bernhard
Implementing a Manufacturing Execution System successfully in a highly regulated environment![]() Abstract Biography |
MedTech |
znt Zentren für Neue Technologien GmbH |
Mayer, Hans
Shop-Floor-Integration in Context of Industrie 4.0![]() Abstract Biography |
TechLounge |